Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Brittany M. McClinton is active.

Publication


Featured researches published by Brittany M. McClinton.


Proceedings of SPIE | 2011

Critical challenges for EUV resist materials

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Gideon Jones; Brittany M. McClinton; Ryan Miyakawa; Seno Rekawa; Nathan S. Smith

Although Extreme ultraviolet lithography (EUVL) is now well into the commercialization phase, critical challenges remain in the development of EUV resist materials. The major issue for the 22-nm half-pitch node remains simultaneously meeting resolution, line-edge roughness (LER), and sensitivity requirements. Although several materials have met the resolution requirements, LER and sensitivity remain a challenge. As we move beyond the 22-nm node, however, even resolution remains a significant challenge. Chemically amplified resists have yet to demonstrate the required resolution at any speed or LER for 16-nm half pitch and below. Going to non-chemically amplified resists, however, 16-nm resolution has been achieved with a LER of 2 nm but a sensitivity of only 70 mJ/cm2.


Proceedings of SPIE | 2010

The SEMATECH Berkeley MET pushing EUV development beyond 22-nm half pitch

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; David Chan; Paul Denham; Simi George; Kenneth A. Goldberg; Brian Hoef; Gideon Jones; Chawon Koh; Bruno La Fontaine; Brittany M. McClinton; Ryan Miyakawa; Warren Montgomery; Seno Rekawa; Tom Wallow

Microfield exposure tools (METs) play a crucial role in the development of extreme ultraviolet (EUV) resists and masks. One of these tools is the SEMATECH Berkeley 0.3 numerical aperture (NA) MET. Using conventional illumination this tool is limited to approximately 22-nm half pitch resolution. However, resolution enhancement techniques have been used to push the patterning capabilities of this tool to half pitches of 18 nm and below. This resolution was achieved in a new imageable hardmask which also supports contact printing down to 22 nm with conventional illumination. Along with resolution, line-edge roughness is another crucial hurdle facing EUV resists. Much of the resist LER, however, can be attributed to the mask. We have shown that intenssionally aggressive mask cleaning on an older generation mask causes correlated LER in photoresist to increase from 3.4 nm to 4.0 nm. We have also shown that new generation EUV masks (100 pm of substrate roughness) can achieve correlated LER values of 1.1 nm, a 3× improvement over the correlated LER of older generation EUV masks (230 pm of substrate roughness). Finally, a 0.5-NA MET has been proposed that will address the needs of EUV development at the 16-nm node and beyond. The tool will support an ultimate resolution of 8 nm half-pitch and generalized printing using conventional illumination down to 12 nm half pitch.


Proceedings of SPIE | 2010

Mask roughness and its implications for LER at the 22- and 16-nm nodes

Patrick P. Naulleau; Simi George; Brittany M. McClinton

Line-edge roughness (LER) remains the most significant challenge facing the development of extreme ultraviolet (EUV) resist. The mask, however, has been found to be a significant contributor to image-plane LER. This has long been expected based on modeling and has more recently been demonstrated experimentally. Problems arise from both maskabsorber LER as well as mask multilayer roughness leading to random phase variations in the reflected beam and consequently speckle. Understanding the implications this has on mask requirements for the 22-nm half pitch node and below is crucial. Modeling results indicate a replicated surface roughness (RSR) specification of 50 pm and a ruthenium capping layer roughness specification of 440 pm. Moreover, modeling indicates that it is crucial to achieve the current ITRS specifications for mask absorber LER which is significantly smaller than current capabilities.


27th European Mask and Lithography Conference | 2011

Using synchrotron light to accelerate EUV resist and mask materials learning

Patrick P. Naulleau; Christopher N. Anderson; Lorie-Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Gideon Jones; Brittany M. McClinton; Ryan Miyakawa; Iacopo Mochi; Warren Montgomery; Seno Rekawa; Tom Wallow

As commercialization of extreme ultraviolet lithography (EUVL) progresses, direct industry activities are being focused on near term concerns. The question of long term extendibility of EUVL, however, remains crucial given the magnitude of the investments yet required to make EUVL a reality. Extendibility questions are best addressed using advanced research tools such as the SEMATECH Berkeley microfield exposure tool (MET) and actinic inspection tool (AIT). Utilizing Lawrence Berkeley National Laboratorys Advanced Light Source facility as the light source, these tools benefit from the unique properties of synchrotron light enabling research at nodes generations ahead of what is possible with commercial tools. The MET for example uses extremely bright undulator radiation to enable a lossless fully programmable coherence illuminator. Using such a system, resolution enhancing illuminations achieving k1 factors of 0.25 can readily be attained. Given the MET numerical aperture of 0.3, this translates to an ultimate resolution capability of 12 nm. Using such methods, the SEMATECH Berkeley MET has demonstrated resolution in resist to 16-nm half pitch and below in an imageable spin-on hard mask. At a half pitch of 16 nm, this material achieves a line-edge roughness of 2 nm with a correlation length of 6 nm. These new results demonstrate that the observed stall in ultimate resolution progress in chemically amplified resists is a materials issue rather than a tool limitation. With a resolution limit of 20-22 nm, the CAR champion from 2008 remains as the highest performing CAR tested to date. To enable continued advanced learning in EUV resists, SEMATECH has initiated a plan to implement a 0.5 NA microfield tool at the Advanced Light Source synchrotron facility. This tool will be capable of printing down to 8-nm half pitch.


Photomask Technology 2011 | 2011

Accelerating EUV learning with synchrotron light: mask roughness challenges ahead

Patrick P. Naulleau; Kenneth A. Goldberg; Eric M. Gullikson; Iacopo Mochi; Brittany M. McClinton; Abbas Rastegar

Despite significant progress in the commercialization of extreme ultraviolet (EUV) lithography, many important challenges remain, including in the area of masks. The issue of EUV phase roughness that can arise from either multilayer or capping layer roughness has recently garnered increasing concern. The problem with mask phase roughness is that it couples line-edge roughness (LER) through the formation of image plane speckle. The coupling from phase roughness to LER depends on many factors including roughness magnitude, roughness correlation length, illumination partial coherence, aberrations, defocus, and numerical aperture. Analysis shows that only on the order of 50 pm multilayer roughness may be tolerable at the 22-nm half-pitch node. Results also show that Atomic Force Microscopy (AFM) may not be a suitable method for measuring mask phase roughness due to its sensitivity to the surface only. Capping layer roughness is another significant concern especially given that it has been shown to increase with cleaning cycles. In this case, however, AFM does provide a reasonable metric.


Proceedings of SPIE | 2012

Tradeoffs in mask architecture: dealing with future illumination angular diversity

Brittany M. McClinton; Patrick P. Naulleau

Extending EUV lithography to future nodes will lead to significant mask challenges. Higher-NA systems will come with larger angles of incidence on the mask, which further complicate the well-known shadowing problem. Addressing them may require the use of thinner absorbers. At the same time, however, the larger mean angle along with a larger diversity of illumination and diffraction angles can also lead to image and reflectivity degradation. Here we perform a modeling based study to explore the relative importance of these two effects for the 12- and 11-nm half pitch nodes. Specifically, we sacrifice reflectivity for increased angular bandwidth by reducing the number of layers in the multilayer stack to find solutions. We further explore thinner absorber and chirped multilayer designs in an attempt to mitigate these problems. As of the conclusion of this study, we have not been able to achieve any combination of parameters to meet the lithographic requirement of NILS 2.5 over 50nm defocus. We can also expect that any condition that meets this requirement will lead to throughput loss: in the most optimistic case we found reflectivity at 77º and 85º was reduced by 4%, though the lithographic requirement was not yet satisfied.


Proceedings of SPIE | 2012

The validity of a simplified model for mask roughness induced LER under off-axis illumination with dense and isolated lines

Brittany M. McClinton; Patrick P. Naulleau

As the total line-edge roughness (LER) budget nears the single nanometer regime for future nodes, the employment of extreme ultraviolet lithography (EUVL) must take into account every LER contribution, including that due to the resist, the mask, and mask surface roughness. While much effort has gone into predicting resist LER and mask LER allowances, contributions due to mask roughness induced LER have just recently garnered attention. As the LER contribution due to mask surface roughness can very easily be on the order of several nanometers for out of focus conditions, it is important to have a means to predict it with ease as a function of NA, illumination type, defocus, feature size, and mask roughness properties. Recently, a new simplified model has been proposed for faster modeling and prediction of mask roughness induced LER based on those parameters. We extend that work and verify the validity of this simplified model across the gamut: from conventional disk-type illumination to off-axis illumination configurations, from dense lines and spaces to isolated ones, down to the 16-nm half pitch, and all through focus.


Proceedings of SPIE | 2011

Absorber height effects on SWA restrictions and shadow LER

Brittany M. McClinton; Patrick P. Naulleau; Thomas Wallow

In this study, we look at the 3D effects of absorber height on mask patterns for extreme-ultraviolet lithography (EUVL). Our first consideration is the extent to which sidewall angle (SWA) constrains the process window. Taking 10% of the total CD error budget as an acceptable tolerance, this amounted to 0.2nm of tolerable SWA-induced CD error. Results for the three nominal SWA cases show that the angle needs to be constrained to within about 0.5 degrees. Overall, the results above indicate that not only is there not much change in sensitivity on changing angle as a function of nominal angle, but also that the nominal angle has no large effect on process window size. Secondly, we consider how off-axis illumination shadowing of the mask absorber pattern effects line-edge-roughness (LER). Data suggests shadowing causes minimal differences between the left- and right-side LER for the 22nm half-pitch node under disk σ = 0.5 illumination and 70nm absorber height. For 16nm half-pitch with crosspole σ = 0.2, significant differences were seen.


Proceedings of SPIE | 2012

Using the transport of intensity equation to predict mask-induced speckle through focus

Brittany M. McClinton; Patrick P. Naulleau

The shrinking critical dimensions in the semiconductor industry carries with it simultaneous shrinking requirements on line-edge roughness (LER). With the employment of extreme ultraviolet lithography (EUVL), a significant new contributor to LER is apparent in the form of mask roughness induced LER. Several simplified models have been developed for the prediction of mask roughness induced LER. Currently, these models use 2D aerial image thin-mask modeling through focus to obtain the clearfield speckle pattern (dependent on mask surface roughness and illumination), and combine that with fast 1D aerial image modeling of the image-log-slope (ILS) (dependent on feature type and illumination) to predict the overall mask roughness induced LER. We propose a further simplification and speed enhancement by employing the transport of intensity equation to predict how the speckle pattern will evolve through focus starting from the single 2D aerial image of the speckle at the image-plane.


Proceedings of SPIE | 2012

Mask shadowing and the line-edge transfer function

Brittany M. McClinton; Thomas Wallow; Patrick P. Naulleau

Owing to the mask-side non-telecentricity resulting from the reflective nature of extreme ultraviolet lithography (EUVL), mask shadowing is well-known to be an issue for EUVL. The shadowing problem is also expected to become more severe as numerical apertures are increased in the future and even larger mask illumination angles are needed. Although the shadowing problem in general has been well studied, the impact this effect might have on the transfer of line-edge roughness (LER) from the mask to the wafer has not been studied. Here we extend previous efforts in the analysis of the LER transfer function (LTF) to explicitly include 3D mask effects. We show that the LTF differs for the shadowed and non-shadowed directions: moreover, the LTF of the left-side edge differs from the right-side edge in the shadowed direction. Finally, we also observe a breakdown of the linearity of the LTF for shadowed features.

Collaboration


Dive into the Brittany M. McClinton's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Simi George

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Christopher N. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Gideon Jones

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Lorie-Mae Baclea-an

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Ryan Miyakawa

University of California

View shared research outputs
Top Co-Authors

Avatar

Seno Rekawa

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Iacopo Mochi

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge