Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tomoya Ohashi is active.

Publication


Featured researches published by Tomoya Ohashi.


Journal of Micro-nanolithography Mems and Moems | 2008

Advanced ultraviolet cross-link process and materials for global planarization

Satoshi Takei; Yusuke Horiguchi; Tomoya Ohashi; Yuichi Mano; Makoto Muramatsu; Mitsuaki Iwashita; Katsuhiro Tsuchiya; Akira Samura

The use of conventional thermal cross-link materials such as negative resists, antireflective coating, and planarizing layers does not lead to excellent planarization for multilevel interconnects and specially via arrays prior to trench patterning for an advance lithography. The large thicknesses bias between the blanket areas and interconnect areas, and between the blanket areas and via arrays are usually observed. Large thickness bias creates problems during next lithography by narrowing the process latitude. Recently, chemical mechanical polishing (CMP) technology has been proposed to achieve the planarization. However, CMP planarization technique is very sensitive to pattern density, and there is a strong possibility that chemical etching reaction will increase the dielectric constant. The current CMP technique still requires a new investment in the equipment. We report another novel approach for global planarization using UV cross-link material (XUV TM ) and the dielectric UV exposure unit in coater equipment (Clean Track). This planar technique provides benefits for reducing the thickness bias observed in the 22- to 65-nm generation lithography and imprint processes. Using this technique, XUV TM TNG076 has achieved global planarization of 10-nm thickness bias in 85-nm diameter via topography when the blanket film thickness was only 110 nm.


International Journal of Nanoscience | 2009

CORRELATION BETWEEN SIMULATION AND EXPERIMENT USING UV CURABLE GAP FILL MATERIALS FOR GLOBAL PLANARIZATION

Satoshi Takei; Sangwoong Yoon; Tomoya Ohashi; Yusuke Horiguchi; Yasuyuki Nakajima; Michael W. Lin; C. Grant Willson

This study focuses on the correlation between simulation and experiment using UV curable gap fill materials for global planarization in advanced lithographic and nanoimprinting techniques. A novel gap fill material has been optimized and developed for global planarization properties. Gap fill materials planarize irregular substrates such as patterned steps, vias, and trenches to increase depth of focus and patterning resolution. After planarizing the substrate surface, the gap fill materials provide dry etching selectivity to the under-layer to avoid damaging the dielectric materials. In the characterization of UV curable gap fill materials, two key factors were identified. The factors were the specific dependence of planarization on the spin speed and film thickness. By optimizing these factors, an appreciable reduction in via topography was realized. An array of 1.1 μm deep, 300 nm diameter holes was planarized to 10 nm thickness bias with a 380 nm thick planarizing film. In addition of global planarization, a final design consideration was to reduce the amount of outgassing during the process. UV curable gap fill material was optimized for sublimate reduction resulting in a defect-free coating. The sublimate produced from the developed gap fill material during baking was significantly decreased when compared with that produced from a thermal curable material. And, the third evaluation of UV curable gap fill materials was reported, to avoid resist poisoning issues in an advanced via-first dual damascene process. The resist poisoning properties in UV curable gap fill material were observed better performance than that of thermal curable material. The resulting UV curable gap fill materials based on this study will be extremely useful for lithographic and nanoimprinting techniques.


Proceedings of SPIE | 2009

Development of novel UV cross-linkable materials for enhancing planarity in via applications via the correlation of simulated and experimental analyses

Satoshi Takei; Michael W. Lin; Sangwoong Yoon; Tomoya Ohashi; Yasuyuki Nakajima; C. Grant Willson

The use of conventional thermally cross-linked materials in advanced lithography and nano-imprinting techniques, such as negative photo resist, anti reflective coatings and planarizing layers, does not guarantee that a high degree of planarization will be obtained. Additionally, iso-dense thickness biases can create problems by narrowing process latitudes. This presentation focuses on the correlation between simulated and experimental analyses and how planarization is affected. The factors we have identified that influence a materials planarizing capability are; coating spin speed, spin time and the relationship between the solvent concentration of the material and its via filling properties. Through optimization of these factors, an appreciable reduction in via topography was achieved. Based on our results, novel, UV cross-linkable materials have been developed and optimized for improving planarity in via applications.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Ultraviolet cross-link process using spin-coating materials for advanced planarization and sublimate defect reduction

Satoshi Takei; Makoto Muramatsu; Yusuke Horiguchi; Tomoya Ohashi; Yasuyuki Nakajima; Yuichi Mano; Mitsuaki Iwashita; Katsuhiro Tsuchiya; Tadayuki Yamaguchi

This study focuses on ultraviolet cross-link process using spin-coating materials for advanced planarization and sublimate defect reduction in the advanced process techniques of semiconductor, display, and new electronic devises. The ultraviolet cross-link process and spin-coating material have been optimized and studied for excellent global planarization property. The newest approach by excellent collaborations from both process and material has the planarization property on an irregular substrate such as the patterned steps, holes and trenches to increase the depth of focus and pattering resolution. After planarizing the substrate surface, the ultraviolet planar materials are used to provide the dry or wet etching selectivities against the under-layer, and specially, avoid the dry or wet etching damage as an etch protecting layer. In addition, we reported the newest process using developed ultraviolet irradiation tool on in-line system in an coater equipment (TOKYO ELECTRON LTD CLEAN TRACKTM) for manufactability with higher throughput (Spin-coating time: less than 30 sec., ultraviolet irradiation time: less than 5 sec, low temperature baking time: less than 60 sec.) Using this technique, a remarkable reduction in via topography with 1.1 μm as a depth and 0.9-1.0 μm as a diameter has been achieved excellent thickness bias less than 20 nm. And, the sublimate amount of the film obtained from the developed ultraviolet planar material was very low as compared with that of the film obtained from current standard thermal cross-link material as the reference.


ieee electron devices technology and manufacturing conference | 2017

Helium ion microscopy (HIM) for imaging fine line features patterned organic film with less damage

Shinichi Ogawa; Tomoya Ohashi; Shigeki Oyama; Yuki Usui

Helium ion microscopy (HIM) was applied to image an organic film filled into narrow trenches. The film was characterized to examine structural changes after the HIM helium ion irradiation comparing with a SEM electron beam irradiation. In the HIM case, the change was seen in a deep region of the film, while it occurred at the surface in the SEM case. This depends on penetration properties of helium ions and electrons to the material, and surface imaging of the film looks more realistic by the HIM than the SEM.


Proceedings of SPIE | 2017

Novel gap filling BARC with high chemical resistance

Hiroto Ogata; Yuto Hashimoto; Yuki Usui; Mamoru Tamura; Tomoya Ohashi; Yasushi Sakaida; Takahiro Kishioka

In the recent of the semiconductor manufacturing process, variety of properties (narrow gap-filling and planarity etc.) are required to organic BARC in addition to the conventional requirements. Moreover, SC-1 resistance is also needed because BARC is often used as a wet etching mask when TiN processing. But conventional BARC which include crosslinker doesn’t have enough SC-1 resistance, and we found that it is also difficult to obtain good gap-filling and good planarity because of outgassing and film shrinkage derived from the crosslinker. In this study, we have developed the new self-crosslinking BARC. The new crosslinking system shows low outgassing and film shrinkage because of not including crosslinker. So, novel BARC has better gap filling property and planarity and over 3 times higher SC-1 resistance than that of conventional BARC. Moreover, by adding the low molecular weight additive which has high adhesive unit to TiN surface, the novel BARC has over 10 times higher SC-1 resistance than that of conventional BARC. And this novel BARC can be applied both ArF and KrF lithography process because of broad absorbance, high etching rate, chemical resistance (SC-1, SC-2, DHF, and others) and good film thickness uniformity. In this paper, we will discuss the detail of new self-crosslinking BARC in excellent total performance and our approach to achieve high chemical resistance.


Proceedings of SPIE | 2009

Feasibility studies of coating method for planarization process

Kentaro Matsunaga; Tomoya Oori; Hirokazu Kato; Eishi Shiobara; Makoto Muramatsu; Mitsuaki Iwashita; Takahiro Kitano; Yusuke Horiguchi; Tomoya Ohashi; Satoshi Takei; Shinichi Ito

The lithography process on topographic substrate is one of the most critical issues for device manufacturing. Topographic substrate-induced focus variation occurs between top position and bottom position in a layer. That is, common depth of focus is reduced. This focus variation is sure to ruin the focus budget in low k1 lithography. From the focus budget of CMOS device, substrate topography is required to be less than 30nm for hp 45-nm generation devices and less than 15nm for hp 32-nm generation devices. In this paper, the authors evaluate a novel concept for hp45-nm generation dual damascene layer for global surface planarization. The novel concept is thin planarization layer with bottom anti-reflecting (BAR) function. This planarization layer with optical performance is materialized by UV crosslink materials and process. This concept is expected to lead to a simpler planarization process. Thin planarization layer with BAR function clear BARC layer and simplifies the etching process. Our study showed that the planarization performance of UV crosslink layer with 100nm thickness was 20nm thickness bias between the field area and dense via hole area. This thickness bias achieved the requirement of hp 45nm generation. Furthermore, fine resist pattern was resolved on the planarization layer by the optimization of acid components and additive.


Archive | 2010

Composition for forming resist underlayer film for nanoimprint lithography

Satoshi Takei; Tomoya Ohashi


Archive | 2010

Composition for production of photosensitive resist underlayer film, and method for formation of resist pattern

Makiko Umezaki; 真紀子 梅嵜; Takahiro Kishioka; 高広 岸岡; Yusuke Horiguchi; 有亮 堀口; Hirokazu Nishimaki; 裕和 西巻; Tomoya Ohashi; 智也 大橋; Yuki Usui; 友輝 臼井


Archive | 2012

ORGANIC SILICON COMPOUND AND SILANE COUPLING AGENT CONTAINING THE SAME

Makiko Umezaki; Daisuke Sakuma; Taito Nishino; Takahiro Kishioka; Yoshiomi Hiroi; Shigeo Kimura; Tomoya Ohashi; Yuki Usui

Collaboration


Dive into the Tomoya Ohashi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Satoshi Takei

Toyama Prefectural University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Satoshi Takei

Toyama Prefectural University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge