Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Toshiaki Kirihata is active.

Publication


Featured researches published by Toshiaki Kirihata.


custom integrated circuits conference | 2007

Electrically Programmable Fuse (eFUSE): From Memory Redundancy to Autonomic Chips

Norman Robson; John M. Safran; Chandrasekharan Kothandaraman; Alberto Cestero; Xiang Chen; Raj Rajeevakumar; Alan Leslie; Dan Moy; Toshiaki Kirihata; Subramanian S. Iyer

Electrical fuse (eFUSE) has become a popular choice to enable memory redundancy, chip identification and authentication, analog device trimming, and other applications. We will review the evolution and applications of electrical fuse solutions for 180 nm to 45 nm technologies at IBM, and provide some insight into future uses in 32 nm technology and beyond with the eFUSE as a building block for the autonomic chip of the future.


symposium on vlsi circuits | 2007

A Compact eFUSE Programmable Array Memory for SOI CMOS

John M. Safran; Alan Leslie; Gregory J. Fredeman; Chandrasekharan Kothandaraman; Alberto Cestero; Xiang Chen; Raj Rajeevakumar; Deok-kee Kim; Yan Zun Li; Dan Moy; Norman Robson; Toshiaki Kirihata; Subramanian S. Iyer

Demonstrating a >10X density increase over traditional VLSI fuse circuits, a compact eFUSE programmable array memory configured as a 4 Kb one-time programmable ROM (OTPROM) is presented using a 6.2 mum2 NiSix silicide electromigration ITIR cell in 65 nm SOI CMOS. A 20 mus programming time at 1.5 V is achieved by asymmetrical scaling of the fuse and a shared differential sensing scheme. Having zero process cost adder, eFUSE is fully compatible with standard VLSI manufacturing.


international solid-state circuits conference | 1999

A 390 mm/sup 2/ 16-bank 1 Gb DDR SDRAM with hybrid bitline architecture

Toshiaki Kirihata; Gerhard Mueller; B. Ji; G. Frankowsky; J.M. Ross; H. Terletzki; D.G. Netis; O. Weinfurtner; David R. Hanson; G. Daniel; L.L.-C. Hsu; D.W. Sotraska; A.M. Reith; M.A. Hug; K.P. Guay; M. Selz; P. Poechmueller; H. Hoenigschmid; Matthew R. Wordeman

This 390mm/sup 2/ 16-bank 1Gb double-data-rate synchronous DRAM (DDR SDRAM) includes: (1) hybrid-bitline architecture; (2) hierarchical column-select operation; (3) hierarchical 8b prefetch; and (4) 1V swing single-ended read-write-drive (RWD) circuitry.


IEEE Journal of Solid-state Circuits | 1996

Fault-tolerant designs for 256 Mb DRAM

Toshiaki Kirihata; Yohji Watanabe; Hing Wong; John K. DeBrosse; Munehiro Yoshida; Daisuke Kato; Shuso Fujii; Matthew R. Wordeman; Peter Poechmueller; Stephen A. Parke; Yoshiaki Asao

This paper describes fault-tolerant designs, which have been used to boost the yield of a 286 mm/sup 2/ 256 Mb DRAM with x32 both-ends DQ. The 256 Mb DRAM consists of sixteen 16 Mb units, each containing one 128 Kb row redundancy block. This row redundancy block architecture allows flexible row redundancy replacement, where random faults, clustered faults, and grouped faults can be efficiently repaired. Flexible column redundancy replacement with interchangeable master DQs (MDQ) is used to allow a 256 b data compression without causing a data conflict, while improving the column access speed by 2 ns. A depletion NMOS bitline-precharge-current-limiter suppresses the current flow which occurs as a result of a wordline-bitline short-circuit to only 15 /spl mu/A per cross fail, avoiding a standby current fail. Consequently, the hardware results show a significant yield enhancement of 16 times relative to the intra-block/segment replacement. Detailed simulation results show that this 256 Mb DRAM allows 275 random faults to be repaired with 5.5% silicon area overhead for 80% chip yield.


international solid-state circuits conference | 2004

An 800-MHz embedded DRAM with a concurrent refresh mode

Toshiaki Kirihata; Paul C. Parries; David R. Hanson; Hoki Kim; John Golz; Gregory J. Fredeman; Raj Rajeevakumar; John A. Griesemer; Norman Robson; Alberto Cestero; Babar A. Khan; Geng Wang; Matt Wordeman; Subramanian S. Iyer

An 800-MHz embedded DRAM macro employs a memory cell utilizing a device from the 90-nm high-performance technology menu; a 2.2-nm gate oxide 1.5 V IO device. A concurrent refresh mode is designed to improve the memory utilization to over 99% for a 64 /spl mu/s data retention time. A concurrent refresh scheduler utilizes up-count and down-count registers to identify at least one array to be refreshed at every clock cycle, emulating a classical distributed refresh mode. A command multiplier employs low frequency phased clock signals to generate the clock, commands, and addresses at rates up to 4/spl times/ that of the tester frequency. The macro integrates masked redundancy allocation logic during at speed multibank test. The hardware results show a 312-MHz random access frequency and 800-MHz multibank frequency at 1.2 V, respectively.


international solid-state circuits conference | 2007

A 500MHz Random Cycle 1.5ns-Latency, SOI Embedded DRAM Macro Featuring a 3T Micro Sense Amplifier

John E. Barth; William Robert Reohr; Paul C. Parries; Gregory J. Fredeman; John Golz; Stanley E. Schuster; Richard E. Matick; Hillery C. Hunter; Charles Tanner; Joseph Harig; Hoki Kim; Babar A. Khan; John A. Griesemer; R.P. Havreluk; Kenji Yanagisawa; Toshiaki Kirihata; Subramanian S. Iyer

A prototype SOI embedded DRAM macro is developed for high-performance microprocessors and introduces performance-enhancing 3T micro sense amplifier architecture (muSA). The macro was characterized via a test chip fabricated in a 65nm SOI deep-trench DRAM process. Measurements confirm 1.5ns random access time with a 1V supply at 85deg and low voltage operation with a 600mV supply.


IEEE Journal of Solid-state Circuits | 2011

A 45 nm SOI Embedded DRAM Macro for the POWER™ Processor 32 MByte On-Chip L3 Cache

John E. Barth; Don Plass; Erik A. Nelson; Charlie Hwang; Gregory J. Fredeman; Michael A. Sperling; Abraham Mathews; Toshiaki Kirihata; William Robert Reohr; Kavita Nair; Nianzheng Caon

A 1.35 ns random access and 1.7 ns-random-cycle SOI embedded-DRAM macro has been developed for the POWER7™ high-performance microprocessor. The macro employs a 6 transistor micro sense-amplifier architecture with extended precharge scheme to enhance the sensing margin for product quality. The detailed study shows a 67% bit-line power reduction with only 1.7% area overhead, while improving a read zero margin by more than 500ps. The array voltage window is improved by the programmable BL voltage generator, allowing the embedded DRAM to operate reliably without constraining of the microprocessor voltage supply windows. The 2.5nm gate oxide transistor cell with deep-trench capacitor is accessed by the 1.7 V wordline high voltage (VPP) with V WL low voltage (VWL), and both are generated internally within the microprocessor. This results in a 32 MB on-chip L3 on-chip-cache for 8 cores in a 567 mm POWER7™ die.


IEEE Journal of Solid-state Circuits | 2013

Field Tolerant Dynamic Intrinsic Chip ID Using 32 nm High-K/Metal Gate SOI Embedded DRAM

Sami Rosenblatt; Daniel Jacob Fainstein; Alberto Cestero; John M. Safran; Norman Robson; Toshiaki Kirihata; Subramanian S. Iyer

A random intrinsic chip ID generation method using retention fails is implemented in 32 nm SOI embedded DRAM. A dynamic key algorithm employs a unique pair of 4 Kb binary strings for an ID record for secure authentication. These strings are generated by controlling a wordline low voltage to search for a number of fails matching the corresponding challenge numbers. The algorithm further includes field-tolerant authentication by detecting a number of common bits analytically guaranteed for successful recognition, while preventing ID spoofing during the read operation. This results in 100% successful unique ID generation and recognition in two temperature and three voltage conditions per chip for a total of ~ 420 k ID pair comparisons in 266 chips. The analytical model predicts a 99.999% successful recognition rate for 106 parts. Finally, a method to enable a field-tolerant ID using multiple domains will be discussed.


IEEE Journal of Solid-state Circuits | 2009

A 1 MB Cache Subsystem Prototype With 1.8 ns Embedded DRAMs in 45 nm SOI CMOS

Peter Juergen Klim; John E. Barth; William Robert Reohr; David Dick; Gregory J. Fredeman; Gary Koch; Hien Minh Le; Aditya Khargonekar; Pamela Wilcox; John Golz; Jente B. Kuang; Abraham Mathews; Jethro C. Law; Trong V. Luong; Hung C. Ngo; Ryan Freese; Hillery C. Hunter; Erik A. Nelson; Paul C. Parries; Toshiaki Kirihata; Subramanian S. Iyer

We describe a single voltage supply, 1 MB cache subsystem prototype that integrates 2 GHz embedded DRAM (eDRAM) macros with on-chip word-line voltage supply generation , a 4 Kb one-time-programmable read-only memory (OTPROM) for redundancy and repair control, on-chip OTPROM programming voltage generation, clock generation and distribution, array built-in self-test circuitry (ABIST), user logic and pervasive logic. The eDRAM employs a programmable pipeline, achieving 1.8 ns latency, and features concurrent refresh capability.


IEEE Journal of Solid-state Circuits | 1992

A 14-ns 14-Mb CMOS DRAM with 300-mW active power

Toshiaki Kirihata; Sang Hoo Dhong; K. Kitamura; T. Sunaga; Y. Katayama; R.E. Scheuerlein; A. Satoh; Y. Sakaue; K. Tobimatsu; K. Hosokawa; T. Saitoh; T. Yoshikawa; H. Hashimoto; M. Kazusawa

A 4-Mb high-speed DRAM (HSDRAM) has been developed and fabricated by using 0.7- mu m L/sub eff/ CMOS technology with PMOS arrays inside n-type wells and p-type substrate plate trench cells. The 13.18-mm*6.38-mm chip, organized as either 512 K word*8 b or 1 M word*4 b, achieves a nominal random-access time of 14 ns and a nominal column-access time of 7 ns, with a 3.6-V V/sub cc/ and provision of address multiplexing. The high level of performance is achieved by using a short-signal-path architecture with center bonding pads and a pulsed sensing scheme with a limited bit-line swing. A fast word-line boosting scheme and a two-stage word-line delay monitor provide fast word-line transition and detection. A new data output circuit, which interfaces a 3.6-V V/sub cc/ to a 5-V bus with an NMOS-only driver, also contributes to the fast access speed by means of a preconditioning scheme and boosting scheme. Limiting the bit-line voltage swing for bit-line sensing results in a low power dissipation of 300 mW for a 60-ns cycle time. >

Collaboration


Dive into the Toshiaki Kirihata's collaboration.

Researchain Logo
Decentralizing Knowledge