Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Toshio Onodera is active.

Publication


Featured researches published by Toshio Onodera.


Journal of Vacuum Science & Technology B | 1993

Evaluation of repair phase and size tolerance for a phase‐shift mask

Hiroshi Ohtsuka; Kazuyuki Kuwahara; Toshio Onodera

The conjugate twin‐shifter method for alternating phase‐shift method provides the flexibility for mask shifter layout, and is applied for both isolated and periodic shifter arrays in conventional i‐line positive resist processes. A new mask structure simplifies shifter pattern delineation and reduces the effects of phase defects. The detail of intermediate phase interference has been analyzed by applying the two‐dimensional shifter array as the phase defect. The results of this analysis provides the optimum repair element array for the new repair method of phase defects. Then the effectiveness of this simple repair method is examined in the i‐line process by using the test arrays that delineated in the XeF2 assisted focused ion beam process.


Japanese Journal of Applied Physics | 1992

Phase defect repair method for alternating phase shift masks conjugate twin-shifter method

Hiroshi Ohtsuka; Kazuyuki Kuwahara; Toshio Onodera; Takashi Taguchi

Phase shift masks (PSMs) enable current optical exposure systems to provide significantly higher resolution in effective depth of focus (DOF). Localized phase errors, or other transparent defects in the mask phase shifter elements, can cause loss of DOF and degradation of image contrast. Transparent defects of this type have prevented PSMs from becoming practical for large-scale production of integrated circuits containing deep submicrometer features. This paper describes a new technique that is useful for repairing Alternating PSMs containing transparent defects in the phase shifter elements.


Optical Microlithography XVI | 2003

Detection of actual focus variations by focus automatic measurement

Daigo Hoshino; Takashi Yamauchi; Akira Watanabe; Toshio Onodera; Hidehiro Higashino

We developed a new focus monitoring method that is simple yet highly accurate. We used simple measurement tools: a conventional binary mask and an optical overlay inspection machine. Our method was sufficiently precise to detect sub-100nm focus errors, and we demonstrated that it had high focus sensitivity under various illuminations (NA, σ, and illumination aperture). We measured actual focus errors by KrF scanner processing and determined the extent of each, i.e., field curvature/astigmatism, wafer topography, and best focus stability.


Optical/Laser Microlithography V | 1992

Conjugate twin-shifter masks with multiple focal planes

Hiroshi Ohtsuka; Toshio Onodera; Kazuyuki Kuwahara; Takashi Taguchi

A new phase shift lithography method has been developed that allows different integrated circuit (IC) features to be focused in different optical planes, conforming to the IC surface topography. In principle, each pattern in an IC could have its own unique focal plane. Direction and magnitude of each focal shift are determined by the design of the mask phase shifters. This method is applicable for use with conventional opaque mask patterns and unattenuated phase shift patterns. Both types of patterns can be intermixed on the same mask if desired. Characteristics of the Multiple Focal Plane technique have been evaluated experimentally and through mathematical modeling using TCC optical imaging theory. Experiments were conducted with a commercial i-line wafer stepper (N.A.=0.50, ?=0.50) using conventional positive and chemically amplified negative resists. Mask patterns evaluated included dark-field Cr masks, isolated clear-field lines, unattenuated phase-shift patterns. Effects of changes in phase shift are discussed, and practical mask design approaches are recommended.


26th Annual International Symposium on Microlithography | 2001

Investigation of attenuated phase-shifting mask material for 157-nm lithography

Toshio Onodera; Takahiro Matsuo; Toshiro Itani; Hiroaki Morimoto

This work was done to identify viable materials for attenuated phase-shift masks (Att-PSMs) for use with 157-nm lithography. Earlier studies proposed Si-based and Zr-based materials as potential contenders for use as Att-PSMs for 157-nm lithography. This report proposes new Ta-based materials for Att-PSMs, and evaluates the irradiation durability of Ta-group bilayer films (Ta and TaSiO) and Si film to F2 laser light. The Ta-based mask is a bilayer structure consisting of an absorption film (AF) layer and a transparent film (TF) layer. Ta is used for the AF, while TaSiO is used for the TF. The Cr of the Si-based mask is used for the AF layer. The TF layer is etched substrate. The transmittance of the Cr-based mask is readily controlled by the thickness of the Cr layer. The phase angle is controlled by the depth of etching substrate. It was found that the Ta- based bilayer films, and the Cr-based films exhibit good irradiation durability for irradiation to 157-nm light. We demonstrated that the Ta-based and Cr-based Att-PSMs are practical for use in 157-nm lithography.


international microprocesses and nanotechnology conference | 1997

Fabrication of 0.13-μm device patterns by argon fluoride excimer laser lithography with practical resolution enhancement techniques

Tohru Ogawa; Masaya Uematsu; Toshio Onodera; Keisuke Nakazawa; Makoto Takahashi; Takeshi Ohfuji; Hiroshi Ohtsuka; Masaru Sasago

This paper presents the formation results of 0.13-µ m device patterns using argon fluoride (ArF) excimer laser lithography that does not incorporate strong resolution enhancement techniques such as levenson type phase-shifting mask or quadrupole illumination. Device patterns of 0.13-µ m can be fabricated by ArF excimer laser lithography when a high performance single-layer photoresist, an anti-reflective layer, an attenuated phase-shifting mask with an off-axis illumination are used. A 0.5-µ m depth-of-focus with a 10.8% exposure latitude can be obtained. Furthermore, 0.12-µ m-rule gate patterns of memory and logic devices can be fabricated. A 1.0-µ m depth-of-focus for a 0.13-µ m pattern will be achieved.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Attenuated phase-shifting mask in ArF lithography

Junji Miyazaki; Masaya Uematsu; Keisuke Nakazawa; Takahiro Matsuo; Toshio Onodera; Tohru Ogawa

It was demonstrated that the DOF obtained using the Att-PSM was 0.5 micrometer for 150 nm hole pattern, whereas that obtained using a binary mask was 0.2 micrometer. Durability of the film subjected to ArF laser irradiation is also investigated, and no significant change is observed in both phase and transmittance. It was indicated that the attenuated phase-shifting mask (Att-PSM) is effective and realistic in ArF lithography. Factors in CD control such as mask error factor (MEF), phase and transmittance are discussed. It is important to reduce MEF for hole pattern, since MEF in experiment was over 3 while it is desired to be less than 1.8. Att-PSM with higher transmittance and higher NA are effective to reduce MEF. On the other hand, MEF for line pattern is small enough for use in production. The control of phase and transmittance are also an important issue. It is estimated that phase error of 3 deg and transmittance error of 0.3% are required.


international microprocesses and nanotechnology conference | 1997

Fabrication of 0.1 µm Patterns Using an Alternating Phase Shift Mask in ArF Excimer Laser Lithography

Keisuke Nakazawa; Masaya Uematsu; Toshio Onodera; Kazuya Kamon; Tohru Ogawa; Shigeyasu Mori; Makoto Takahashi; Takeshi Ohfuji; Hiroshi Ohtsuka; Masaru Sasago

We demonstrate applications of alternating phase shift mask (Alt-PSM) techniques to ArF excimer laser lithography with a numerical aperture of 0.6 and a coherence factor of 0.3. A 0.10 µm line-&-space (L/S) pattern was fabricated using a single-layer resist and a 0.09 µm L/S pattern was fabricated using a silylation resist. However, the process window was smaller for the silylation resist than for the single-layer resist over the 0.10–0.13 µm L/S range. The maximum depth of focus (DOF) values were approximately 0.3, 0.5, and 0.9 µm for 0.10, 0.11, and 0.13 µm L/S patterns, respectively, for the single-layer resist. From exposure dose-DOF-tree analysis, we estimated the inclusive process margin including the critical dimension difference, the DOF, the dose margin, and the phase error. Assuming that the usable DOF is larger than 0.5 and 0.6 µm for 0.11 and 0.13 µm L/S patterns, respectively, the inclusive process margin for the single-layer resist is poor in comparison with future predictions.


20th Annual BACUS Symposium on Photomask Technology | 2001

Materials for an Attenuated Phase-Shifting Mask in 157 nm Lithography

Takahiro Matsuo; Toshio Onodera; Toshiro Itani; Hiroaki Morimoto; Takashi Haraguchi; Koichiro Kanayama; Tadashi Matsuo; Masao Otaki

We have investigated new materials for 157nm attenuated phase-shifting mask (Att-PSM). The structure of the Att-PSM is based on the bi-layer film in which a transparent film (TF) is deposited on an absorptive film (AF) on quartz substrate. We evaluated the optical property and the durability against F2 laser irradiation for 157nm Att-PSM materials, for which we prepared the modified ZrSixOy films and SiOx film as a TF and the Cr film and the modified ZrSixOy films as an AF. For a TF, the SiOx and modified ZrSixOy films achieve high transparency and robust durability against F2 laser light. For an AF, the Cr film achieves robust irradiation durability. Furthermore, we investigated the feasibility of defect inspection in consideration of the various combinations of TF and AF. From the calculation of the transmittance at inspection wavelength (193nm and 248nm), it is expected that the defect inspection is feasible in the combination of the SiOx or ZrSixOy transparent films with any absorptive film.


international microprocesses and nanotechnology conference | 1999

Application of zirconium silicon oxide films to an attenuated phase-shifting mask in ArF lithography

Takahiro Matsuo; Toshio Onodera; Keisuke Nakazawa; Tohru Ogawa; Hiroaki Morimoto; T. Haraguchi; N. Fukuhara; M. Otaki; Susumu Takeuchi

The application of an attenuated phase-shifting mask (Att-PSM) to ArF excimer laser lithography is very promising in the pattern fabrication below 0.13 /spl mu/m. Zirconium silicon oxide (ZrSiO) film has been proposed as the phase shifter material for Att-PSM. The bi-layer ZrSiO film is composed of the absorptive film (AF) with a low oxygen concentration and the transmissive film (TF) with a high oxygen concentration to control the transmittance and the phase shift respectively. We must overcome the durability of the shifter material to an ArF excimer laser irradiation for practical use. In this paper, we present the ArF irradiation durability of ZrSiO films and the lithographic performance by applying it to Att-PSM in ArF lithography.

Collaboration


Dive into the Toshio Onodera's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge