Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Keisuke Nakazawa is active.

Publication


Featured researches published by Keisuke Nakazawa.


international microprocesses and nanotechnology conference | 1997

Fabrication of 0.13-μm device patterns by argon fluoride excimer laser lithography with practical resolution enhancement techniques

Tohru Ogawa; Masaya Uematsu; Toshio Onodera; Keisuke Nakazawa; Makoto Takahashi; Takeshi Ohfuji; Hiroshi Ohtsuka; Masaru Sasago

This paper presents the formation results of 0.13-µ m device patterns using argon fluoride (ArF) excimer laser lithography that does not incorporate strong resolution enhancement techniques such as levenson type phase-shifting mask or quadrupole illumination. Device patterns of 0.13-µ m can be fabricated by ArF excimer laser lithography when a high performance single-layer photoresist, an anti-reflective layer, an attenuated phase-shifting mask with an off-axis illumination are used. A 0.5-µ m depth-of-focus with a 10.8% exposure latitude can be obtained. Furthermore, 0.12-µ m-rule gate patterns of memory and logic devices can be fabricated. A 1.0-µ m depth-of-focus for a 0.13-µ m pattern will be achieved.


Japanese Journal of Applied Physics | 2000

Contrast Enhancement based on Acid Equilibrium for Chemically Amplified Resists

Keisuke Nakazawa; Masaru Sasago

We have developed a method of enhancing the resist contrast governed by the hydrogen-ion concentration in chemically amplified resist films. We consider the distribution of permittivity and the equilibrium constants of acids generated by photoirradiation. The hydrogen-ion concentration in a resist film containing a weak acid increases with increasing permittivity. If the permittivity of exposed areas is higher than that of unexposed areas, the resist contrast is greater than that of resist films whose permittivity is the same in both exposed and unexposed areas. Accordingly, the resolution capability is enhanced and the depth of focus is increased. Calculations based on a model in which an additive whose polarizability is increased by photoirradiation is mixed within the resist, indicated that (1) the resist contrast depends on the amount of polarizability change and the concentration of the additive, (2) the resist contrast takes a maximum value at an optimum exposure dose, and (3) an appropriate combination of available additives and available exposure doses can result in a resist contrast higher than that obtained when strong acids are generated and also higher than the optical contrast.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Challenge to sub-0.1-μm pattern fabrication using an alternating phase-shifting mask in ArF lithography

Takahiro Matsuo; Keisuke Nakazawa; Tohru Ogawa

We have developed ArF excimer laser lithography using an alternating phase-shifting mask (PSM) for sub-0.10 micrometers logic device fabrication. The requirement of phase accuracy is discussed on the 0.10micrometers isolated and dense line patterns. It is found that the phase accuracy of +/- 2 degrees is required in order to obtain the sufficient process margin and minimize the CD difference between the nonshifter portion and the shifter portion. The 0.07micrometers by optimizing the phase shift on the dual-trench type PSM. We have also confirmed the fabrication of the 0.05micrometers isolated and semi-dense line patterns. The 0.05micrometers line patterns could be attained with the sufficient processing margin, if the resist performance is further improved. Furthermore, we have investigated the effect of mask bias to the isolated line pattern. It has been demonstrated that the DOF is improved from 0.65(Mu) m to 0.8micrometers by adding the mask bias of 0.02micrometers to the 0.10micrometers isolated line pattern, because the mask bias makes the pivotal point controllable.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Attenuated phase-shifting mask in ArF lithography

Junji Miyazaki; Masaya Uematsu; Keisuke Nakazawa; Takahiro Matsuo; Toshio Onodera; Tohru Ogawa

It was demonstrated that the DOF obtained using the Att-PSM was 0.5 micrometer for 150 nm hole pattern, whereas that obtained using a binary mask was 0.2 micrometer. Durability of the film subjected to ArF laser irradiation is also investigated, and no significant change is observed in both phase and transmittance. It was indicated that the attenuated phase-shifting mask (Att-PSM) is effective and realistic in ArF lithography. Factors in CD control such as mask error factor (MEF), phase and transmittance are discussed. It is important to reduce MEF for hole pattern, since MEF in experiment was over 3 while it is desired to be less than 1.8. Att-PSM with higher transmittance and higher NA are effective to reduce MEF. On the other hand, MEF for line pattern is small enough for use in production. The control of phase and transmittance are also an important issue. It is estimated that phase error of 3 deg and transmittance error of 0.3% are required.


Japanese Journal of Applied Physics | 1999

Characterization of Microbridges Generated on Negative Resist Patterns

Keisuke Nakazawa; Eishi Shiobara; Masafumi Asano; Yasuhiko Sato; Satoshi Tanaka; Yasunobu Oonishi

We analyze the mechanism of microbridge formation on chemically amplified negative resist patterns through direct observation of progressive development in alkali-developers. The microbridge formation was attributed to contact occurring between adjacent resist patterns due to swelling of the resist. These swollen resist patterns contracted upon immersion in deionized water; however, bridge structures remained as microbridges between these patterns. The swelling of the resist films occurred more easily at defocus positions than at a focus center, and the microbridges localized near the top of the pattern at defocus positions and delocalized at a focus center. An optical simulation suggested that both the crosslinking density and its distribution determine the number and position of the microbridges. A scaling law governing microbridge formation is observed as a function of focus position and exposure dose.


international microprocesses and nanotechnology conference | 1997

Fabrication of 0.1 µm Patterns Using an Alternating Phase Shift Mask in ArF Excimer Laser Lithography

Keisuke Nakazawa; Masaya Uematsu; Toshio Onodera; Kazuya Kamon; Tohru Ogawa; Shigeyasu Mori; Makoto Takahashi; Takeshi Ohfuji; Hiroshi Ohtsuka; Masaru Sasago

We demonstrate applications of alternating phase shift mask (Alt-PSM) techniques to ArF excimer laser lithography with a numerical aperture of 0.6 and a coherence factor of 0.3. A 0.10 µm line-&-space (L/S) pattern was fabricated using a single-layer resist and a 0.09 µm L/S pattern was fabricated using a silylation resist. However, the process window was smaller for the silylation resist than for the single-layer resist over the 0.10–0.13 µm L/S range. The maximum depth of focus (DOF) values were approximately 0.3, 0.5, and 0.9 µm for 0.10, 0.11, and 0.13 µm L/S patterns, respectively, for the single-layer resist. From exposure dose-DOF-tree analysis, we estimated the inclusive process margin including the critical dimension difference, the DOF, the dose margin, and the phase error. Assuming that the usable DOF is larger than 0.5 and 0.6 µm for 0.11 and 0.13 µm L/S patterns, respectively, the inclusive process margin for the single-layer resist is poor in comparison with future predictions.


international microprocesses and nanotechnology conference | 1999

Application of zirconium silicon oxide films to an attenuated phase-shifting mask in ArF lithography

Takahiro Matsuo; Toshio Onodera; Keisuke Nakazawa; Tohru Ogawa; Hiroaki Morimoto; T. Haraguchi; N. Fukuhara; M. Otaki; Susumu Takeuchi

The application of an attenuated phase-shifting mask (Att-PSM) to ArF excimer laser lithography is very promising in the pattern fabrication below 0.13 /spl mu/m. Zirconium silicon oxide (ZrSiO) film has been proposed as the phase shifter material for Att-PSM. The bi-layer ZrSiO film is composed of the absorptive film (AF) with a low oxygen concentration and the transmissive film (TF) with a high oxygen concentration to control the transmittance and the phase shift respectively. We must overcome the durability of the shifter material to an ArF excimer laser irradiation for practical use. In this paper, we present the ArF irradiation durability of ZrSiO films and the lithographic performance by applying it to Att-PSM in ArF lithography.


19th Annual Symposium on Photomask Technology | 1999

ZrSiO: a new and robust material for attenuated phase-shift mask in ArF lithography

Toshio Onodera; Takahiro Matsuo; Keisuke Nakazawa; Junji Miyazaki; Tohru Ogawa; Hiroaki Morimoto; Takashi Haraguchi; Nobuhiko Fukuhara; Tadashi Matsuo; Masao Otaki; Susumu Takeuchi

We propose zirconium silicon oxide (ZrSiO) film as a powerful candidate for attenuated phase-shift mask (Att-PSM) materials. A bi-layer structure of this material with an absorptive film (AF) and a transparent film (TF) can effectively control the transmittance and phase. We confirmed the durability of the ZrSiO film in ArF laser irradiation. The lifetime with the change in transmittance and phase after irradiation at 30 kJ(DOT)cm-2 is equivalent to a total dose of 3 years in future ArF exposure systems. We investigated the resolution performance of the Att-PSM with ZrSiO film for a 130 nm pattern. The depth of focus with the Att-PSM was larger than that of the binary mask. Therefore, an Att-PSM with ZrSiO is promising for developing a 130-nm-technology node with ArF lithography. Controllability of the critical dimension on the wafer is discussed from the viewpoint of the mask error factor (MEF).


18th Annual BACUS Symposium on Photomask Technology and Management | 1998

Process margin in ArF lithography using an alternating phase-shifting mask

Takahiro Matsuo; Keisuke Nakazawa; Tohru Ogawa

We have developed ArF excimer laser lithography using an alternating phase-shifting mask (PSM) for 0.10 micrometer device fabrication. The process margin and the requirement of phase accuracy were discussed for fabricating 0.10 micrometer patterns. We clarified the factor which have an influence on the resist process margin. It was found that the phase error has a great influence on the depth-of-focus (DOF), and the mask structures and the mask bias affect on the exposure latitude. The exposure latitude for the dual-trench type PSM reached over 1.3 times as large as it for the single-trench type. 0.10 micrometer patterns have been achieved with the DOF of 0.8 micrometer and the exposure latitude of 14% by optimizing the phase shift on the dual-trench type mask. Furthermore, the exposure latitude has been improved up to 16.5% by adding the mask bias of - 0.04 micrometer on the opaque area. By a feasibility study with the 10X dual-trench type masks, it was suggested that the phase accuracy of plus or minus 2 degrees was required for 0.10 micrometer pattern fabrication.


Photomask and next-generation lithography mask technology. Conference | 2000

CrOxFy as a material for attenuated phase-shift masks in ArF lithography

Keisuke Nakazawa; Takahiro Matsuo; Toshio Onodera; Hiroaki Morimoto; Hiroshi Mohri; Chiaki Hatsuta; Naoya Hayashi

We investigated the durability of CrOxFy film, which is used as a shifter for attenuated phase-shift masks (Att- PSMs), under ArF excimer laser irradiation. The phase shift of an as-deposited film decreased and the transmittance increased due to the disappearance of interfaces, which was caused by the migration of atoms. To improve durability, the sample was annealed at 300 degrees C to remove the interfaces, and the surface was etched to recover the decreased transmittance caused by the annealing. As a result, the lifetime became 1.5 years, which is sufficient for practical devices. The depth-of-focus of an ArF photoresist was 1.2 micrometers for a 0.13 micrometers line-and-space pattern and 0.5 micrometers for an 0.13 micrometers isolated contact- hole pattern when Att-PSMs made from CrOxFy film were used.

Collaboration


Dive into the Keisuke Nakazawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Masaru Sasago

Osaka Prefecture University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge