Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Trudo Clarysse is active.

Publication


Featured researches published by Trudo Clarysse.


Journal of Vacuum Science & Technology B | 2000

Status and review of two-dimensional carrier and dopant profiling using scanning probe microscopy

P. De Wolf; Robert Stephenson; T. Trenkler; Trudo Clarysse; Thomas Hantschel; Wilfried Vandervorst

An overview of the existing two-dimensional carrier profiling tools using scanning probe microscopy includes several scanning tunneling microscopy modes, scanning capacitance microscopy, Kelvin probe microscopy, scanning spreading resistance microscopy, and dopant selective etching. The techniques are discussed and compared in terms of the sensitivity or concentration range which can be covered, the quantification possibility, and the final resolution, which is influenced by the intrinsic imaging resolution as well as by the response of the investigated property to concentration gradients and the sampling volume. From this comparison it is clear that, at present, none of the techniques fulfills all the requirements formulated by the 1997 Semiconductor Industry Association roadmap for semiconductors [National Technology Roadmap for Semiconductors (Semiconductor Industry Association, San Jose, CA, 1997)]. Most methods are limited to pn-junction delineation or provide a semiquantitative image of the differen...


Applied Physics Letters | 2005

Diffusion, activation, and recrystallization of boron implanted in preamorphized and crystalline germanium

Alessandra Satta; Eddy Simoen; Trudo Clarysse; Tom Janssens; A. Benedetti; B. De Jaeger; Marc Meuris; Wilfried Vandervorst

We have investigated diffusion and activation of boron implanted with 6 keV energy to a maximum concentration of 8.0×1020atoms∕cm3 in crystalline germanium (c-germanium) and preamorphized germanium, employing rapid thermal annealing in the range of 400–600 °C. As-implanted boron profiles in preamorphized germanium are shallower than the ones in c-germanium due to channeling suppression. While boron diffusion is not observed either in c-germanium or during the germanium regrowth from amorphous state, the boron activation level achieved from the two starting phases is significantly different. A boron activation level of 2.4×1020atoms∕cm3 has been found in regrown germanium, while a level of only 1.2×1019atoms∕cm3 is observed in c-germanium. Remarkably, there is no evidence of any residual extended defectivity at the original crystalline/amorphous interface, when preamorphization is performed.


Applied Physics Letters | 2006

Diffusion, activation, and regrowth behavior of high dose P implants in Ge

Alessandra Satta; Eddy Simoen; Ray Duffy; Tom Janssens; Trudo Clarysse; A. Benedetti; Marc Meuris; Wilfried Vandervorst

Time evolution of the chemical profile, electrical activity, and regrowth of P implanted in Ge at a concentration above the maximum equilibrium solubility is investigated at 500°C rapid thermal annealing temperature. During the first anneal, a second, epitaxial regrowth of a part of the amorphous layer leads to P trapping in substitutional sites at a level of about 4×1020atoms∕cm3. However, nonsubstitutional P atoms frozen in the crystal at high concentration during recrystallization form large, inactive precipitates of peculiar circular shape. Simultaneously, long annealing time leads to continuing, extensive P out- and indiffusion affecting both the P chemical profile and junction sheet resistance.


Applied Physics Letters | 1995

Characterization of a point‐contact on silicon using force microscopy‐supported resistance measurements

P. De Wolf; Johan Snauwaert; Trudo Clarysse; Wilfried Vandervorst; Louis Hellemans

A conductive atomic force microscope (AFM) tip based on B‐implanted diamond has been developed for the determination of the spatial distribution of charge carriers in semiconducting structures. The characteristics of this tip have been determined by studying the current–voltage behavior as a function of substrate resistivity and tip load. From this work a model of the electrical properties of the microcontact is emerging. It includes an Ohmic contribution to the overall resistance, which is related to the plastically deformed area, and contributions from a barrier. The tip imprints have been imaged with AFM and their physical dimensions are seen to match the requirements of the model. From resistance measurements on uniformly doped silicon a calibration curve has been established which can be used as a standard to convert measured resistance into resistivity.


Journal of Vacuum Science & Technology B | 2002

Scanning spreading resistance microscopy and spectroscopy for routine and quantitative two-dimensional carrier profiling

Pierre Eyben; Mingwei Xu; Natasja Duhayon; Trudo Clarysse; Sven Callewaert; Wilfried Vandervorst

As emphasized in the International Technological Roadmap for Semiconductors (ITRS), two-dimensional carrier profiling is one of the key elements in support of technology development. Scanning spreading resistance microscopy (SSRM) has been demonstrated to have attractive concentration sensitivity, an easy quantification, and is applicable to complementary metal–oxide–semiconductor Si and InP structures. Its commercial implementation and availability together with an ample supply of appropriate (diamond based) tips has enabled its more widespread use during recent years. In this article we propose a number of measurement procedures and software tools for its more reliable and fast routine application. First we present a program for the automatic generation of calibration curves and the fast quantification of one-dimensional and two-dimensional resistivity (and carrier) profiles. In view of the large tip consumption, a fast evaluation and calibration of newly mounted conductive tips is a major issue. Furthe...


Journal of Vacuum Science & Technology B | 1998

Cross-sectional nano-spreading resistance profiling

P. De Wolf; Trudo Clarysse; Wilfried Vandervorst; Louis Hellemans; Ph. Niedermann; W. Hänni

The nano-spreading resistance profiling (nano-SRP) method has been developed and improved such that it can now be used as an accurate tool for quantitative two-dimensional carrier profiling. Instrumental improvements include the use of batch-fabricated, conducting diamond-coated silicon probes, and a low-noise logarithmic current amplifier. The spatial resolution (10 nm), the dynamic range (1014–1020 atoms/cm3), and the sensitivity (1014 atoms/cm3) of the nano-SRP technique are illustrated by profiling a wide range of state-of-the-art device structures. Two-dimensional measurements of the carrier distribution inside fully processed metal–oxide–semiconductor transistors with gate lengths varying from 2 μm down to 0.25 μm illustrate the strength of the technique to map present and future devices. The nano-SRP method currently has sufficient resolution to demonstrate the small asymmetry in the source/drain profiles from transistors in which the sample was not rotated during the 7° implant. The electrical tra...


Journal of The Electrochemical Society | 2006

Shallow Junction Ion Implantation in Ge and Associated Defect Control

Alessandra Satta; Eddy Simoen; Tom Janssens; Trudo Clarysse; B. De Jaeger; A. Benedetti; I. Hoflijk; Bert Brijs; Marc Meuris; Wilfried Vandervorst

We have studied implant-induced damage, defect annealing, and recrystallization of B, Ga, P, As, and Sb introduced in Ge by ion implantation at high doses, such that dopant chemical concentrations are above the corresponding solubility in Ge, with energies that target about 100-nm junction depths. It is shown that the amount of damage induced in the Ge lattice increases with the mass of the implanted ion, as expected. Implanted B produces local amorphous regions, although crystalline Ge zones are present in the implanted layer. P is a self-amorphizing ion, creating a continuous amorphous layer during implantation. However, a low thermal budget is sufficient to fully regrow the amorphous layer, without evidence of residual extended defects, as evaluated by cross-sectional transmission electron microscopy. Conversely, high concentrations of As cause a significant decrease of the regrowth rate of the damaged layer during rapid thermal annealing in the 400-600°C range studied. Finally, high-dose implantation of heavy ions such as Sb induces dramatic morphologic changes in Ge that are not recovered by post-implant rapid thermal annealing.


Journal of Vacuum Science & Technology B | 1998

Epitaxial staircase structure for the calibration of electrical characterization techniques

Trudo Clarysse; Matty Caymax; P. De Wolf; T. Trenkler; Wilfried Vandervorst; J. S. McMurray; J. Kim; C. C. Williams; J. G. Clark; Gabi Neubauer

Frequently electrical characterization techniques [such as the spreading resistance probe (SRP)], rely on the availability of a set of well-calibrated, homogeneously doped Si samples to establish the calibration curves (and parameters) necessary for the conversion of resistance measurements into carrier profiles. Although ideally such a calibration should be verified daily, in practice, time considerations limit the daily verification to one (or a few) calibration samples. To remedy this situation a special multilayer Si structure has been grown consisting of a decreasing B-doped staircase containing seven flat 4–5 μm thick calibration layers doped from 1020/cm3 down to 1015/cm3 separated by slightly (factor 2–3) higher doped 1–2 μm thick interface layers. The latter are included to facilitate the SRP calibrations as the SRP correction factor within the calibration layers now becomes very close to one. Since presently, a calibration curve can be generated quickly from a single measurement, daily measureme...


Journal of Vacuum Science & Technology B | 1996

One‐ and two‐dimensional carrier profiling in semiconductors by nanospreading resistance profiling

P. De Wolf; Trudo Clarysse; Wilfried Vandervorst; Johan Snauwaert; Louis Hellemans

Measurement of the carrier concentrations in silicon with lateral and in‐depth resolution on the sub‐100 nm scale has been demonstrated with an atomic force microscope (AFM) using conducting tips. The technique determines the local spreading resistance and hence inherits the attractive features of the conventional spreading resistance profiling (SRP) technique and will be referred to as nano‐SRP. For instance, the calibration curve established by measuring homogeneously doped substrates indicates a dynamic range of concentrations between 1014 and 1019 cm−3 and a monotonic relation between resistance and resistivity, similar to a conventional SRP calibration curve. In the present study, W‐coated diamond tips are used at a precisely controlled force of 70 μN, leading to a contact radius of 50 nm as determined from AFM analysis of the resulting imprints. The drastic reduction of the contact size implies that one can measure directly on a vertical cross section of the structure and overcome some limitations c...


Applied Physics Letters | 2009

Millisecond flash lamp annealing of shallow implanted layers in Ge

C. Wündisch; Matthias Posselt; B. Schmidt; V. Heera; T. Schumann; A. Mücklich; R. Grötzschel; W. Skorupa; Trudo Clarysse; Eddy Simoen; H. Hortenbach

Shallow n+ layers in Ge are formed by phosphorus implantation and subsequent millisecond flash lamp annealing. Present investigations are focused on the dependence of P redistribution, diffusion and electrical activation on heat input into the sample and flash duration. In contrast to conventional annealing procedures an activation up to 6.5×1019 cm−3 is achieved without any dopant redistribution and noticeable diffusion. Present results suggest that independently of pretreatment the maximum activation should be obtained at a flash energy that corresponds to the onset of P diffusion. The deactivation of P is explained qualitatively by mass action analysis which takes into account the formation of phosphorus-vacancy clusters.

Collaboration


Dive into the Trudo Clarysse's collaboration.

Top Co-Authors

Avatar

Wilfried Vandervorst

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Pierre Eyben

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Erik Rosseel

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Thomas Hantschel

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Janusz Bogdanowicz

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Louis Hellemans

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Alain Moussa

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge