Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Pierre Eyben is active.

Publication


Featured researches published by Pierre Eyben.


Journal of Vacuum Science & Technology B | 2002

Scanning spreading resistance microscopy and spectroscopy for routine and quantitative two-dimensional carrier profiling

Pierre Eyben; Mingwei Xu; Natasja Duhayon; Trudo Clarysse; Sven Callewaert; Wilfried Vandervorst

As emphasized in the International Technological Roadmap for Semiconductors (ITRS), two-dimensional carrier profiling is one of the key elements in support of technology development. Scanning spreading resistance microscopy (SSRM) has been demonstrated to have attractive concentration sensitivity, an easy quantification, and is applicable to complementary metal–oxide–semiconductor Si and InP structures. Its commercial implementation and availability together with an ample supply of appropriate (diamond based) tips has enabled its more widespread use during recent years. In this article we propose a number of measurement procedures and software tools for its more reliable and fast routine application. First we present a program for the automatic generation of calibration curves and the fast quantification of one-dimensional and two-dimensional resistivity (and carrier) profiles. In view of the large tip consumption, a fast evaluation and calibration of newly mounted conductive tips is a major issue. Furthe...


Nanotechnology | 2009

Evolution of metastable phases in silicon during nanoindentation: mechanism analysis and experimental verification

Kausala Mylvaganam; Liangchi Zhang; Pierre Eyben; Jay Mody; Wilfried Vandervorst

This paper explores the evolution mechanisms of metastable phases during the nanoindentation on monocrystalline silicon. Both the molecular dynamics (MD) and the in situ scanning spreading resistance microscopy (SSRM) analyses were carried out on Si(100) orientation, and for the first time, experimental verification was achieved quantitatively at the same nanoscopic scale. It was found that under equivalent indentation loads, the MD prediction agrees extremely well with the result experimentally measured using SSRM, in terms of the depth of the residual indentation marks and the onset, evolution and dimension variation of the metastable phases, such as beta-Sn. A new six-coordinated silicon phase, Si-XIII, transformed directly from Si-I was discovered. The investigation showed that there is a critical size of contact between the indenter and silicon, beyond which a crystal particle of distorted diamond structure will emerge in between the indenter and the amorphous phase upon unloading.


Applied Physics Letters | 2003

Sub-5-nm-spatial resolution in scanning spreading resistance microscopy using full-diamond tips

David Alvarez; Jessica Hartwich; Marc Fouchier; Pierre Eyben; Wilfried Vandervorst

Scanning spreading resistance microscopy is a two-dimensional carrier profiling technique now widely used for the characterization of silicon (Si) devices as well as other semiconductor materials. Whereas the state-of-the-art spatial resolution for this technique using commercial-diamond-coated silicon probes is limited to 10–20 nm, enhanced resolution is demonstrated through the use of full-diamond tips integrated in Si cantilevers. Sub-5-nm-spatial resolution is obtained on fully depleted silicon on isolator devices, putting the technique closer to the characterization requirements of the forthcoming semiconductor dimensions. Resistance and scanning electron microscope measurements clearly show that this enhanced resolution results from a smaller effective radius for full diamond tips as compared to the diamond-coated Si probes.


Archive | 2007

Probing Semiconductor Technology and Devices with Scanning Spreading Resistance Microscopy

Pierre Eyben; Wilfried Vandervorst; David Alvarez; Mingwei Xu; M. Fouchier

As the downscaling in semiconductor industry continues, the correct operation of devices becomes critically dependent on the precise location and activation of the dopants in two dimensions. For Si technology, Duane had already determined in 1996 that, in the 0.25-μm CMOS technology, a 10-nm decrease in the channel length was responsible for a more than 10% increase in the gate-to-drain overlap capacitance [1]. In the 65-nm devices processed today, effects of shifts in lateral position of a few nanometers or of variations in concentration of a few percent in the channel are drastically more pronounced.


Materials Science and Engineering B-advanced Functional Solid-state Materials | 2003

Progress towards a physical contact model for scanning spreading resistance microscopy

Pierre Eyben; Samuel Denis; Trudo Clarysse; Wilfried Vandervorst

Abstract As emphasized in the ITRS roadmap, two-dimensional (2D) carrier profiling is one of the key elements in support of technology development. For CMOS silicon devices, scanning spreading resistance microscopy (SSRM) has demonstrated an attractive spatial resolution and concentration sensitivity. The automated construction of calibration curves allows for the fast semi-quantitative transformation of one-dimensional (1D) and 2D resistance profiles/images into resistivity/carrier profiles/images. However in order to arrive, at a reliable, fully quantitative analysis a new physical contact model involving a Schottky-like contact with tunneling and surface states has been proposed. The latter has been based on establishing a qualitative agreement with experimental data. The first aim of this work is to refine this contact model in order to achieve a quantitative agreement between device simulations (with ISE/DESSIS) and experimental 1D profiles on well-calibrated, junction isolated (carrier spilling affected), sub-micron CMOS structures. Among others, scanning spreading resistance spectroscopy (SSRS), i.e. collecting a full I–V curve at each data point, will be used. Furthermore, the impact of this new contact model on the deconvolution procedure from the measured resistance profiles/images towards resistivity/carrier profiles/images through an improved correction factor database will be discussed.


Journal of Vacuum Science & Technology B | 2010

Analysis and modeling of the high vacuum scanning spreading resistance microscopy nanocontact on silicon

Pierre Eyben; Francesca Clemente; Kris Vanstreels; Geoffrey Pourtois; Trudo Clarysse; Edouard Duriau; Thomas Hantschel; Kiroubanand Sankaran; Jay Mody; Wilfried Vandervorst; Kausala Mylvaganam; Liangchi Zhang

Within this paper, the authors propose a refined high vacuum scanning spreading resistance microscopy (HV-SSRM) electromechanical nanocontact model based on experimental results as well as molecular dynamics (MD) simulation results. The formation under the tip of a nanometer-sized pocket of β-tin, a metastable metalliclike phase of silicon (also named Si-II), acting as a virtual probe is demonstrated. This gives a reasonable explanation for the superior SSRM spatial resolution as well as for the electrical properties at the Schottky-like SSRM contact. Moreover, the impact of the doping concentration on the plastic deformation of silicon for different species using micro-Raman combined with indentation experiments is studied. In order to elucidate the superior results of SSRM measurements when performed under high vacuum conditions, the impact of humidity on the mechanical deformation and Si-II formation is also analyzed using MD and SSRM experimental results.


Nanotechnology | 2011

Observation of diameter dependent carrier distribution in nanowire-based transistors

Andreas Schulze; Thomas Hantschel; Pierre Eyben; Anne S. Verhulst; Rita Rooyackers; Anne Vandooren; Jay Mody; Aftab Nazir; Daniele Leonelli; Wilfried Vandervorst

The successful implementation of nanowire (NW) based field-effect transistors (FET) critically depends on quantitative information about the carrier distribution inside such devices. Therefore, we have developed a method based on high-vacuum scanning spreading resistance microscopy (HV-SSRM) which allows two-dimensional (2D) quantitative carrier profiling of fully integrated silicon NW-based tunnel-FETs (TFETs) with 2 nm spatial resolution. The key elements of our characterization procedure are optimized NW cleaving and polishing steps, the use of in-house fabricated ultra-sharp diamond tips, measurements in high vacuum and a dedicated quantification procedure accounting for the Schottky-like tip-sample contact affected by surface states. In the case of the implanted TFET source regions we find a strong NW diameter dependence of conformality, junction abruptness and gate overlap, quantitatively in agreement with process simulations. In contrast, the arsenic doped drain regions reveal an unexpected NW diameter dependent dopant deactivation. The observed lower drain doping for smaller diameters is reflected in the device characteristics by lower TFET off-currents, as measured experimentally and confirmed by device simulations.


Journal of Vacuum Science & Technology B | 2006

Active dopant characterization methodology for germanium

Trudo Clarysse; Pierre Eyben; Tom Janssens; Ilse Hoflijk; Danielle Vanhaeren; Alessandra Satta; Marc Meuris; Wilfried Vandervorst; Janusz Bogdanowicz; G Raskin

In order to reach the ITRS goals for future complementary metal-oxide semiconductor technologies there is a growing interest in using germanium as an alternative substrate material in view of its higher mobility. Different species and thermal budgets are presently being investigated in order to determine the most likely candidates for the required junction formation. A key issue is the accurate determination of the achievable electrical activation, i.e., the reliable measurement of the sheet resistance and electrical depth profile. In order to be applicable to Ge-based junctions, standard techniques such as the spreading resistance probe and scanning spreading resistance microscopy (SSRM) need to be reevaluated in terms of their performance and operational conditions. First, the significantly different behavior of germanium calibration curves (versus silicon) will be discussed. Next, the shape and characteristics of the probe imprints (Ge is softer than Si) and the differences in raw data behavior will be...


IEEE Transactions on Electron Devices | 2007

A Reliable Metric for Mobility Extraction of Short-Channel MOSFETs

Simone Severi; Luigi Pantisano; E. Augendre; E. San Andrés; Pierre Eyben; K. De Meyer

When comparing the extracted carrier mobility of long- and short-channel transistors, special consideration must be given to the metallurgical gate length (Lmet), neglecting the impact of source and drain junction profiles. Lmet can be identified with nanometer precision by using RF split-C-V measurements, and physical and electrical analysis can demonstrate the accuracy of the method. Another important parameter, the external transistor resistance (Rsd), can be identified with linear current measurements of short-channel devices. However, it is important to quantify the mobility dependence from the gate length in order to obtain an accurate result. A method to estimate the electrical field (Eeff) of short-channel devices is proposed. The extracted short-channel mobility shows a universal behavior identical to the classical long-channel one.


Journal of Vacuum Science & Technology B | 2002

Detailed study of scanning capacitance microscopy on cross-sectional and beveled junctions

Natasja Duhayon; Trudo Clarysse; Pierre Eyben; Wilfried Vandervorst; Louis Hellemans

In this work we have done a systematic study with scanning capacitance microscopy (SCM) on cross-sectional and beveled structures. A study was made on the practical problem of contrast reversal as well as on the effect of carrier spilling related to bevel angle, steepness and substrate concentration of the doping profile. A comparison has been made with the results achieved with spreading resistance profiling and also with theoretical predictions. Finally, the junction displacement for cross-sectional and beveled junctions is studied as a function of the applied bias. It is shown that the junction displacement is much smaller on the beveled surface after demagnification. Furthermore, the large extension of the profile along the beveled surface allows us to study the bias induced variation of the SCM signal within the depletion layer in great detail.

Collaboration


Dive into the Pierre Eyben's collaboration.

Top Co-Authors

Avatar

Trudo Clarysse

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Thomas Hantschel

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jay Mody

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Marc Fouchier

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Simone Severi

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge