Ute Buttgereit
Carl Zeiss AG
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Ute Buttgereit.
Proceedings of SPIE, the International Society for Optical Engineering | 2009
Dirk Beyer; Ute Buttgereit; Thomas Scheruebl
New lithography techniques like Double Patterning, Computational Lithography and Source Mask Optimization will be used to drive immersion lithography to its limits. This results in several challenges for the mask maker. The extremely high MEEF values amplify small process variations on the mask features on the wafer. Complex mask features using sophisticated OPC and assist features as well as double patterning tightens the registration and CDU specification at the same time. Especially, overlay becomes more and more critical and must be ensured on every die. In-die registration and CD metrology on arbitrary features is required to measure mask performance precisely. In this paper an overview about several in-die metrology techniques will be given. Application of in-die CD measurements using the Zeiss WLCD32 tool as well as in-die registration measurements using the Zeiss Prove tool will be shown and discussed.
Proceedings of SPIE | 2009
Sven Martin; Wolfgang Degel; Ute Buttgereit; Thomas Scherübl
With decreasing feature size, the requirements for CD uniformity (CDU) on the wafer have become crucial for achieving the required yield in the wafer fab. This is related to tighter CDU specifications on the photomask. Currently, mask CDU is mainly measured by mask CD SEM tools. However, due to strong OPC and high MEEF mask CDU is not directly related to wafer CDU. A new Aerial Imaging based optical system has been developed by Carl Zeiss SMS which measures wafer level CD already on photomasks under scanner conditions. First results of the alpha tool show that the new tool has extremely good CD repeatability and stability. Furthermore, the effect of the scanner settings on CD uniformity is demonstrated.
Proceedings of SPIE, the International Society for Optical Engineering | 2007
Sascha Perlitz; Ute Buttgereit; Thomas Scherübl; Dirk Seidel; Kyung M. Lee; Malahat Tavassoli
As lithography mask process moves toward 45nm and 32nm node, phase control is becoming more important than ever. Both attenuated and alternating Phase Shifting Masks (PSM) need precise control of phase as a function of both pitch and target sizes. However conventional interferometer-based phase shift measurements are limited to large CD targets and require custom designed patterns in order to function properly, which limits phase measurement Zeiss is currently developing an optical phase measurement tool (PhameTM), providing the capability of extending process control from large CD test features to in-die phase shifting features with high spatial resolution. The necessity of designing this optical metrology tool according to the optical setup of a lithographic exposure tool (scanner) has been researched to be fundamental for the acquisition of phase information generated from features close to the size of the used wavelength. It was found by simulation that the image phase of a scanner depends on polarization and the angle of incidence of the illumination light due to rigorous effects. Additionally, for small features the phase value is strongly influenced by the imaging NA of the scanner due to the loss of phase information in the imaging pupil. Simulations show that the resulting scanner phase in the image plane only coincides with the etch-depth equivalent phase for large test features, exceeding the size of the in-die feature by an order of magnitude. In this paper we introduce the PhameTM phase metrology tool, which enables the industry to perform in-die phase control for Alternating PSM, Attenuated PSM and CPL masks. The PhameTM uses a 193nm light source with the optical capability of phase measurement at scanner NA up to the equivalent of a NA1.6 immersion scanner, under varying, scanner relevant angle of incidence for Attenuated PSMs and CPLs, and with the possibility of polarizing the illuminating light. New options for phase shifting mask process control on in-die features will be outlined with first phase measurement results for varying states of polarization.
Proceedings of SPIE, the International Society for Optical Engineering | 2006
Masaki Yoshizawa; Vicky Philipsen; Leonardus Leunissen; Eric Hendrickx; Rik Jonckheere; Geert Vandenberghe; Ute Buttgereit; Hans Becker; Corinna Koepernik; Mathias Irmscher
Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller). However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography. A reason lies in the transmitted polarization state through the mask. The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging. Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light. In our previous work absorber thickness of bi-layer attPSM, i.e. Ta/SiO2, was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM. In this study, the thickness-optimized Ta/SiO2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO2 and MoSi attPSMs with 6% transmission and 180o phase shift. The thickness-optimized Ta/SiO2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180o phase shift is controlled by SiO2 thickness. The exposure latitude of 45 nm LS delineated by using an NA1.20 full-field scanner with xy-polarized cquadrupole was 15.7%, 13.4%, and 10.1% with depth of focus of 200 nm for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, respectively. Line width roughness of the Ta/SiO2 attPSMs was approximately 5.5 nm for the 45 nm LS, which was comparable to MoSi. Mask-error-enhancement factor (MEEF) of the 45 nm LS was 4.4, 4.9, and 3.8 for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, though the simulation expected MEEF values of 4.1, 5.5, and 6.3, respectively. Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality.
Photomask and Next Generation Lithography Mask Technology XII | 2005
Corinna Koepernik; Hans Willy Becker; Jörg Butschke; Ute Buttgereit; Mathias Irmscher; Lorenz Nedelmann; F. Schmidt; S. Teuber
For the new Schott EAPSM Material, comprising a Ta/SiO2/Cr stack, a patterning process has been developed. The material offers the advantage of an independent adjustment of phase shift and transmission and is applicable for different wavelengths. Because of very homogenous Ta and SiO2 films and perfect etch selectivities it has been achieved a phase shift uniformity of 1.1° and a tight transmission deviation of 0.34% (absolute) across the entire mask. First dry etch process development has been focused on profiles and selectivities. The influence of process parameters on sidewall angle, profile bow, resist loss and Cr loss of the three patterning steps are shown. We have achieved excellent selectivities and a final sidewall angle of > 88°. The aerial image contrast of the first test plate is comparable to known attenuated phase shift material.
Emerging Lithographic Technologies IX | 2005
Frank Sobel; Markus Renno; Thomas Leutbecher; Nathalie Olschewski; Thorsten Reichardt; Ronny Walter; Hans Becker; Ute Buttgereit; Guenter Hess; Konrad Knapp; Christian Wies; Rainer Lebert
Mask Blanks for EUV Lithography require a lot of new properties and features compared to standard Chrome-on-Glass mask blanks. SCHOTT Lithotec has introduced all relevant technology steps to manufacture EUV mask blanks. Starting from completely new low thermal expansion substrate materials with significantly improved surface quality over multilayer coatings for EUV reflection up to new absorber layers with improved dry etching and inspection properties. New polishing and cleaning technologies, improved sputter technology and updated metrology enable us to routinely produce EUVL mask blanks meeting already many of the ITRS roadmap requirements. Further R&D is ongoing to path the way to the production of EUV mask blanks which meet all requirements An important focus of this report is to present recent results on EUVL multilayer properties such as defect density, optical properties like reflectivity and uniformity in the EUV range. In addition a new design of EUVL absorber material will be reported, including optical performance at inspection wavelength, dry etch performance and resistance to cleaning steps. Finally improvements on our metrology methods for EUVL components, such as high throughput EUV-reflectometry will be elucidated.
Proceedings of SPIE, the International Society for Optical Engineering | 2010
Ute Buttgereit; Robert Birkner; Erez Graitzer; Avi Cohen; Benedetta Triulzi; Carmelo Romeo
For the next years optical lithography stays at 193nm with a numerical aperture of 1.35. Mask design becomes more complex, mask and lithography specification tighten and process control becomes more important than ever. Accurate process control is a key factor to success to maintain a high yield in chip production. One of the key parameters necessary to assure a good and reliable functionality of any integrated circuit is the Critical Dimension Uniformity (CDU). There are different contributors which impact the total wafer CDU: mask CD uniformity, scanner repeatability, resist process, lens fingerprint, wafer topography etc. In this work we focus on improvement of intra-field CDU at wafer level by improving the mask CD signature using a CDC200TM tool from Carl Zeiss SMS. The mask layout used is a line and space dark level of a 45nm node Non Volatile Memory (NVM). A prerequisite to improve intra-field CDU at wafer level is to characterize the mask CD signature precisely. For CD measurement on mask the newly developed wafer level CD metrology tool WLCD32 of Carl Zeiss SMS was used. The WLCD32 measures CD based on proven aerial imaging technology. The WLCD32 measurement data show an excellent correlation to wafer CD data. For CDU correction the CDC200TM tool is used which utilizes an ultrafast femto-second laser to write intra-volume shading elements (Shade-In ElementsTM) inside the bulk material of the mask. By adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner that improves wafer CDU when the corrected mask is printed. In the present work we will demonstrate a closed loop process of WLCD32 and CDC200TM to improve mask CD signature as one of the main contributors to intra-field wafer CDU. Furthermore we will show that the process window will be significantly enlarged by improvement of intra-field CDU. An increase of 20% in exposure latitude was observed.
24th Annual BACUS Symposium on Photomask Technology | 2004
Frank Sobel; Lutz Aschke; Markus Renno; Hans Willy Becker; Nathalie Olschewski; Torsten Reichardt; Guenter Hess; Ute Buttgereit; Konrad Knapp; Florian Letzkus; Joerg Butschke; Corinna Koepernik
EUV Lithography requires high end quality defect free layers from the backside coating to the absorber stack. Low thermal expansion materials (LTEM) substrates with super flat surfaces are already available with low defect backside coating for E-Chuck technology. The multilayer stack is well developed from a physical point of view and major effort relies nowadays on the layer defectivity. On the other hand, absorber stack becomes one of the main challenges in terms of stress, optical behavior for ultraviolet wavelengths and dry etching behavior. Schott Lithotec is currently developing absorber stack solutions that will fulfill the requirements of next generation lithographies. There are several options for achieving the mechanical, optical and chemical specs for buffer layers and absorber coatings. Some of them are already integrated in our production processes. Buffer layers were evaluated and reach almost the physical and chemical level necessary to fit with the mask processing. TaN based absorber coatings were designed and deposited by an ion beam sputter tool optimized for low defect deposition (LDD-IBS). The chemical composition of our layer and its manufacturing process is already optimized to achieve high quality etching behavior. The current results of defect density for the absorber stack will be presented.
Proceedings of SPIE | 2010
Ute Buttgereit; Robert Birkner; Mark Joyner; Erez Graitzer; Avi Cohen; Hiroyuki Miyashita; Benedetta Triulzi; Alejandro Fasciszewski Zeballos; Carmelo Romeo
One of the key parameters necessary to assure a good and reliable functionality of any integrated circuit is the Critical Dimension Uniformity (CDU). There are different contributors which impact the total CDU: mask CD uniformity, scanner and lens fingerprint, resist process, wafer topography, mask error enhancement factor (MEEF) etc. In this work we focus on improvement of intra-field CDU at wafer level by improving the mask CD signature using a CDC200TM tool from Carl Zeiss SMS. The mask layout used is a line and space dark level of a 45nm node Non Volatile Memory (NVM). A prerequisite to improve intra-field CDU at wafer level is to characterize the mask CD signature precisely. For CD measurement on mask the newly developed wafer level CD metrology tool WLCD32 of Carl Zeiss SMS was used. The WLCD32 measures CD based on aerial imaging technology. The WLCD32 measurement data show an excellent correlation to wafer CD data. For CDU correction the CDC200TM tool is used. By utilizing an ultrafast femto-second laser the CDC200TM writes intra-volume shading elements (Shade-In ElementsTM) inside the bulk of the mask. By adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner that improves wafer CDU when the corrected mask is printed. In the present work we will demonstrate a closed loop process of WLCD32 and CDC200TM to improve mask CD signature as one of the main contributors to intra-field wafer CDU.
Proceedings of SPIE, the International Society for Optical Engineering | 2005
Jörg Butschke; Ute Buttgereit; Eric Cotte; Günter Hess; Mathias Irmscher
The present paper will show an approach for a local and global stress determination by the application of a Leica LMS IPRO II mask registration tool. Changes in placement due to a full or partial layer removal on single materials as well as material stacks with respect to a reference grid were determined. Simulation using finite element modeling was conducted to calculate stress values from the placement information. Finally, an estimate was made of the acceptable stress level for a sample design to meet placement requirements for future lithography nodes.