Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Vicky Philipsen is active.

Publication


Featured researches published by Vicky Philipsen.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Validity of the Hopkins approximation in simulations of hyper-NA (NA>1) line-space structures for an attenuated PSM mask

Andreas Erdmann; Giuseppe Citarella; Peter Evanschitzky; Hans Schermer; Vicky Philipsen; Peter De Bisschop

In our previous work we have shown that as the NA of a lithographic projection system increases some of the simulation assumptions that are traditionally made - such as the so-called Hopkins assumption, i.e. the assumption that diffraction at the mask is independent of the angle of incidence of the illuminating light waves - break down, at least in some cases. Reliable simulation results will then only be obtained if this Hopkins assumption is eliminated, i.e. when the diffraction effect is reevaluated for each incident direction. The differences in the results between two such simulations, one using the Hopkins approach, the other with this assumption removed, have been demonstrated to be very significant in some case, but today there is no clear understanding when the removal of the Hopkins assumption is essential: a systematic study is not available. As simulations without the Hopkins approximation are significantly more time consuming than simulations done under the Hopkins assumption, a better understanding of which model can or must be used under which circumstances, would be of significant practical importance. The aim of this paper is to provide such a more systematic study for the case of 6% attenuated PSM with line/space structures targeting at a 45 nm resist linewidth for a variety of pitches, for a NA = 1.2 water immersion system. Standard lithographic metrics such as process windows will be used to compare the two simulation approaches. All this work will be done taking the mask topography and optical material parameters into account. As the polarization state of the mask-illumination will also greatly affect the imaging quality at hyper-NA, we will compare the results for different polarization states and illumination modes.


Rapid Communications in Mass Spectrometry | 1998

Sputtering of atoms in fine structure states: A probe of excitation and de-excitation events

Barbara J. Garrison; Nicholas Winograd; R. Chatterjee; Zbigniew Postawa; A. Wucher; Erno Vandeweert; Peter Lievens; Vicky Philipsen; Roger Silverans

The electronic mechanisms leading to the formation of excited atoms from ion-bombarded metal surfaces have been examined in light of recent experimental observations. Specifically, populations and kinetic energy distributions are compared for metastable fine structure states of In, Rh, Ni, Co and Ag. The comparison shows that the populations of these depend strongly on the electronic configuration of the departing atom and its correspondence with the metallic band structure. Current hypotheses about fundamental processes are discussed. Missing parts of our understanding of these processes are enumerated, and a number of new experiments aimed toward filling in these gaps are proposed.# 1998 John Wiley & Sons, Ltd. Atomic and molecular desorption from ion bombarded surfaces is initiated not only by classical momentum transfer between colliding species but also by various processes. The electronic processes are particularly important in controlling the degree to which the desorbing species leave the surface in excited states or as positive or negative ions. An improved fundamental understanding of the basic mechanisms associated with these electronic events may indeed lead to more effective strategies for enhancing the ionization efficiency of desorbing species and to improve the prospects for mass spectral-based surface analyses. In general, excited atoms may be classified into two categories. Atoms in short-lived states, on one hand, are easy to detect by their radiative decay and, therefore, a wealth of experimental information on atoms sputtered in such states can be found in the literature. 1 The interpretation of these data, however, is extremely complicated due to the


Proceedings of SPIE | 2012

Calibration and verification of a stochastic model for EUV resist

Weimin Gao; Alexander Philippou; Ulrich Klostermann; Joachim Siebert; Vicky Philipsen; Eric Hendrickx; Tom Vandeweyer; Gian F. Lorusso

Line width roughness remains a critical issue when moving towards smaller feature sizes in EUV lithography. We present a stochastic resist modeling approach to accurately predict LWR and CD simultaneously. The stochastic model simulates the roughness effects due to the shot noise and secondary electron effects during exposure, and the interaction amongst the finite number of chemical molecules (inhibitor, PAG, quencher) during PEB. The model calibration used the imec baseline EUV resist (Shinetsu SEVR140) with over 250 measured CDs and corresponding line width roughness data. The validation was performed with 1D and 2D patterns. Especially for contact holes the predictability regarding local CD uniformity is discussed. The good match between the simulations and wafer results for SRAM patterns further exhibits the predictive power of the model. The model has been applied to simulate the new ASML NXE: 3100 EUV conditions for both thin and thick absorber EUV masks. The comparison between the simulation results and wafer data are reported.


Nuclear Instruments & Methods in Physics Research Section B-beam Interactions With Materials and Atoms | 1998

Emission of ground and metastable state Ni and Co atoms during ion-beam sputtering: Quantitative measurements of population and kinetic energy distributions

Peter Lievens; Vicky Philipsen; Erno Vandeweert; Roger Silverans

Abstract We report the application of a sensitive experimental procedure for the quantitative measurement of population partitions and kinetic energy distributions of metastable atoms ejected during ion-beam–solid interactions. The method, based on double resonant two-colour two-step laser ionization, was applied to study the emission of neutral atoms during 12 keV Ar + ion-beam sputtering of clean polycrystalline Ni and Co. The combined data provide evidence for resonant electron transfer during the emission process and its dependence on the correspondence of the atomic electronic configuration with the bulk electronic structure.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Experimental measurements of telecentricity errors in high-numerical-aperture extreme ultraviolet mask images

Sudharshanan Raghunathan; Obert Wood; Pawitter Mangat; Erik Verduijn; Vicky Philipsen; Eric Hendrickx; Rik Jonckheere; Kenneth A. Goldberg; Markus P. Benk; Patrick A. Kearney; Zachary Levinson; Bruce W. Smith

Nontelecentric illumination in extreme ultraviolet (EUV) lithography leads to pattern shifts through focus called telecentricity errors. As the industry moves toward finer pitch structures and higher numerical apertures (NA) to improve resolution, the effects of telecentricity errors become more significant. These telecentricity errors are dependent on pattern pitch, pattern type, lens aberrations, mask stack, to name a few. In this paper, a novel technique to measure telecentricity errors using EUV mask images from an actinic mask inspection tool, called the SEMATECH High NA Actinic Reticle Review Project (SHARP) is presented. SHARP is SEMATECHs second generation actinic mask imaging tool developed by Lawrence Berkeley National Laboratory. The SHARP can image masks at different numerical aperture settings, even beyond the currently available scanner NA of 0.33 (high-NA EUV) and also has a set of programmable illuminator choices. A tuned multilayer EUV mask blank was fabricated with test structures optim...


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Through-pitch and through-focus characterization of AAPSM for ArF immersion lithography

Toshio Konishi; Yosuke Kojima; Yoshimitsu Okuda; Vicky Philipsen; Leonardus Leunissen; Lieve Van Look

Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good performance due to the high image contrast and the small mask error enhancement factor (MEEF). For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production application. The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different depending on the pitch. In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer printing, AIMS, and simulation.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Assessment of OPC effectiveness using two-dimensional metrics

Vincent Wiaux; Vicky Philipsen; Rik Jonckheere; Geert Vandenberghe; Staf Verhaegen; T. Hoffmann; Kurt G. Ronse; William B. Howard; Wilhelm Maurer; Moshe E. Preil

A complete evaluation of the optical proximity effects (OPE) and of their corrections (OPC) requires a quantitative description of two-dimensional (2D) parameters, both at resist- and at reticle-level. Because the 2D behaviour at line-ends and at line-corners can become a limiting factor for the yield, it should be taken into account when characterising a process, just as the CD- and pitch-linearity are already kept under control. This implies the measurement of 2D-metrics in a precise way. We used an SEM Image Analysis tool (ProDATA SIAM) to define and measure various OPC-relevant metrics for a C013 process. For the METAL (M1) process, we show that the overlap between line-ends of M1-trenches and underlying nominal contacts is a relevant metric to describe the effectiveness of hammerheads. Moreover, it is an interesting metric to combine with the CD process window. For the GATE process, we demonstrate that for a given set of metrics there is a degree of OPC aggressiveness beyond which it is not worth to go. We considered both line-end shortening (LES) and corner rounding affecting the poly linewidth close to a contact pad, and this on various logic circuits having received different degrees of fragmentation. Finally the knowledge of the actual line-end contour on the reticle allows one to simulate separately the printing effect of that area loss at reticle line-ends. The area loss measured by comparing the extracted contour to the target one is regarded as a combination of pull-back and area loss at corners. For our C013 gate process, and for the 130nm lines at a 1:1.25 duty cycle, those two parameters contribute together to approximetely 40% of the measured LES in the resist. This fact raises the question of specifications on 2D reticle parameters. We also find a linear correlation between the area loss at reticle line-end corners and the corresponding increase of LES on the wafer, which suggests a way towards putting specifications on the reticle line-ends.


Optical Microlithography XVIII | 2005

Mask Induced Polarization Effects at High NA

Andrew Estroff; Yongfa Fan; Anatoly Bourov; Bruce W. Smith; Philippe Foubert; Leonardus Leunissen; Vicky Philipsen; Yuri Aksenov

It is important to understand how a photomask will polarize incident radiation. This paper presents data collected on binary mask and various attenuated phase shifting mask materials, feature sizes, duty ratios, and illumination schemes via rigorous coupled wave analysis, extinction spectroscopy, and 193nm lithographic evaluation. Additionally, the result of polarization effects due to the photomask on imaging has been studied. It was found that in the majority of the cases, higher NA led to greater polarization effects. All mask materials predominantly pass the TM polarization state for the 0 order, whereas different materials and duty ratios affect the polarization of the first diffracted orders differently. The polarization effects contributed by mask materials being considered for use in high NA imaging systems need to be examined. The degree of polarization as a function of n and k is presented, providing an introduction to the desirable properties of future mask materials. Materials with higher refractive indices and lower extinction coefficients tend to pass more of the TM polarization state, which is undesirable. Materials with lower indices and relatively wide range of extinction coefficients pass more TE polarized radiation. The duty ratio, critical dimension, mask material, material thickness, and illumination scheme all influence mask induced polarization effects.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Reliable Sub-Nanometer Repeatability for CD Metrology in a Reticle Production Environment

Andrew C. Hourd; Anthony Grimshaw; Gerd Scheuring; Christian Gittinger; Stefan Doebereiner; Frank Hillmann; Hans-Juergen Brueck; Shiuh-Bin Chen; Parkson W. Chen; Rik Jonckheere; Vicky Philipsen; Hans Hartmann; Volodymyr Ordynskyy; Kai Peter; Thomas Schaetz; Karl Sommer

The new MueTec , an advanced CD metrology and review station operating at DUV (248nm) wavelength, has been extensively characterised in a reticle production environment. Performance data including resolution, measurement repeatability and throughput will be discussed. The system has demonstrated the ability to image 100nm Cr lines and sub-nanometer (3-sigma) long-term repeatability on lines and spaces down to 200nm in size. Metrology capability on contact hole and serif structures will also be discussed. The paper will also introduce the application of a long working distance DUV objective compatible with pelliclised masks. With a 9% EAPSM reticle for 193nm wavelength a very appropriate image contrast was obtained with both objective types, allowing reliable automated linearity measurements on this type of reticle also. In addition to the metrology performance of the tool, its integration into a manufacturing environment will also be described. This will show how the availability of networked co-ordinate data (either in the form of ASCII files or CATS data) and the high-accuracy stage of the tool enable efficient, automated measurement of large numbers of dense features under production conditions.


18th European Mask Conference on Mask Technology for Integrated Circuits and Micro-Components | 2002

Printability of hard and soft defects in 193-nm lithography

Vicky Philipsen; Rik Jonckheere; Stephanie Kohlpoth; Christoph Friedrich; Juan Andres Torres

A systematic attempt has been undertaken to investigate the printability of mask defects for 100nm lithography using 193nm wavelength. The main purpose is the study of soft defects (particles), but hard defects have been taken as a reference. A reticle is designed with programmed soft and chrome defects in cells with different densities. As soft defects resist dots are used. Printability is first assessed by simulation, using ProLith v7.0. Wafers are printed using QUASAR illumination and evaluated by a CD SEM. We demonstrate that aerial image simulations and AIS measurements can predict the qualitative trends in defect printability. A thorough quantitative analysis is presented.

Collaboration


Dive into the Vicky Philipsen's collaboration.

Top Co-Authors

Avatar

Erno Vandeweert

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Peter Lievens

Laboratory of Solid State Physics

View shared research outputs
Top Co-Authors

Avatar

Roger Silverans

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jeroen Bastiaansen

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Vu Luong

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge