Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Leonardus Leunissen is active.

Publication


Featured researches published by Leonardus Leunissen.


Journal of Vacuum Science & Technology B | 2004

Line edge roughness and critical dimension variation: Fractal characterization and comparison using model functions

Vassilios Constantoudis; George P. Patsis; Leonardus Leunissen; Evangelos Gogolides

Line edge (or width) roughness (LER or LWR) of photoresists lines constitutes a serious issue in shrinking the critical dimensions (CD) of the gates to dimensions of a few tens of nanometers. In this article, we address the problem of the reliable LER characterization as well as the association of LWR with the CD variations. The complete LER characterization requires more parameters than the rms value σ since the latter neglects the spatial aspects of LER and does not predict the dependence on the length of the measured line. The further spatial LER descriptors may be the correlation length ξ and the roughness exponent α, which can be estimated through various methods. One aim of the present work is to perform a systematic comparative study of these methods using model edges generated by a roughness algorithm, in order to show their advantages and disadvantages for a reliable and accurate determination of the spatial LER parameters. In particular, we compare the results from (a) the study of the height–he...


Journal of Vacuum Science & Technology B | 2003

Influence of gate patterning on line edge roughness

Leonardus Leunissen; Rik Jonckheere; Kurt G. Ronse; Giljam B. Derksen

It is shown by simulation that the line edge roughness (LER) on the gates causes fluctuations on transistor performance [J. A. Croon et al., “Line edge roughness: Characterization, modeling, and impact on device behavior,” Proceedings of the IEDM, 2002; “Experimental investigation of the impact of line-edge roughness on MOSFET performance and yield” (to be published)]. Efforts are underway to investigate the influence on device performance experimentally. In this article, the transfer of the LER of the resist pattern into the poly silicon layer is investigated. For the experimental setup isolated gate lines ranging between 50–180 nm were patterned with an e-beam lithography. The resist line patterns are generated with some additional programmed LER. After the e-beam lithography step the processing was continued with etching the poly-Si, resist strip, and SiON removal. Using an offline software analysis tool for the edge detection based on SEM pictures, it was possible to determine the influence of process...


Meeting Abstracts | 2007

Challenges with Respect to High-k/Metal Gate Stack Etching and Cleaning

Rita Vos; Sophia Arnauts; Inge Bovie; Bart Onsia; Sylvain Garaud; Kaidong Xu; Yu Hongyu; S. Kubicek; Erika Rohr; Tom Schram; Anabela Veloso; Thierry Conard; Leonardus Leunissen; P. Mertens

Novel high-k gate dielectrics (HK), often Hf-based oxides, are considered for the 45 nm node and beyond to allow further scaling of the gate dielectric. In order to prevent Fermi-level pinning, metal gates (MG) with the proper work function have to be used on the high-k dielectrics. These can be implemented in a Dual MG approach [1] where thin metal layers are inserted between the high-k and the poly-Si electrode during gate stack formation (see Figure 1 left). In addition, the work function can be further tuned through high-k cap layers in high-k cap inserted CMOS (see Figure 1 right) [2,3]. Other options are ‘metal gate last’ schemes where a dummy poly-Si gate is replaced by metal after formation of the NMOS and PMOS on the wafer or, alternatively, if Fully Silicided (FUSI) gates are used (see Figure 2).


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Through-pitch and through-focus characterization of AAPSM for ArF immersion lithography

Toshio Konishi; Yosuke Kojima; Yoshimitsu Okuda; Vicky Philipsen; Leonardus Leunissen; Lieve Van Look

Alternating Aperture Phase Shift Mask (AAPSM) is one of the most effective approaches to improve the resolution of logic gate structures for ArF lithography of the 65nm half-pitch node and beyond because AAPSM shows good performance due to the high image contrast and the small mask error enhancement factor (MEEF). For AAPSM, the issue of intensity imbalance between pi-space and zero-space is well known. In order to solve this issue, several kinds of AAPSM, such as single trench with undercut, single trench with bias are used in production application. The fabrication of single trench with bias AAPSM requires that the quartz dry etch satisfies many conditions. The etched quartz features must not only show excellent depth uniformity but also good etch depth linearity across a wide range of feature sizes. However, in defocus conditions, the through-pitch image placement error becomes worse even with good quartz etch depth linearity. The reason is that the phase error caused by mask topography is different depending on the pitch. In this work, we minimize the phase error through-pitch and through-focus by rigorous 3D mask simulations. Based on the results, we have fabricated two masks with opposite quartz depth linearity signatures to estimate the imaging impact of phase errors and used them for exposures on an ASML XT:1250Di immersion scanner. We discuss the feasibility of this method by comparison of through-focus and through-pitch image placement errors between wafer printing, AIMS, and simulation.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Toward a complete description of linewidth roughness: a comparison of different methods for vertical and spatial LER and LWR analysis and CD variation

Vassilios Constantoudis; George P. Patsis; Leonardus Leunissen; Evangelos Gogolides

Line edge (or width) roughness (LER or LWR) of photoresists lines consists a serious issue in shrinking the CDs of the gates to dimensions of a few tens of nanometers. In this paper, we address the problem of the reliable LER characterization as well as the association of LWR with the CD variations. The complete LER characterization requires more parameters than the rms value σ since the latter neglects the spatial aspects of LER and does not predict the dependence on the length of the measured line. The further spatial LER descriptors may be the correlation length ξ and the roughness exponent α, which can be estimated through various methods. One aim of the present work is to perform a systematic comparative study of these methods using model edges generated by a roughness algorithm, in order to show their advantages and disadvantages for a reliable and accurate determination of the spatial LER parameters. In particular, we compare the results from (1) the study of the height-height correlation function (HHCF), (2) the Fourier (or power spectrum, PS) analysis and (3) the variation of rms value σ with measured line edge L [σ(L) curve]. It is found that the HHCF can be considered approximately a rescaled version of σ(L) and that the value of σ becomes almost independent on the measured edge length for lengths larger than ten times the correlation length. As regards the PS, it is shown that the finite length of the edge may affect harmfully the reliable estimation of α and ξ. Finally, we confirm theoretically and generalize an experimental observation regarding the relationship between LWIR and the σ of the CD variations within a die of a wafer. It is shown that they behave in a complimentary way as line length increases so that the sum of their squares remains constant and equal to the square of the LWR σ of the infinite line.


International symposium on Silicon Compatible Materials, Processes, and Technologies for Advanced Integrated Circuits and Emerging Applications ; 219th Meeting of the Electrochemical Society (ECS) | 2011

Direct Copper Electrochemical Deposition on Ru-Based Substrates for Advanced Interconnects Target 30 nm and 1/2 Pitch Lines: From Coupon to Full-Wafer Experiments

Silvia Armini; Steven Demuynck; Zaid El-Mekki; Johan Swerts; Magi Margalit Nagar; Aleksandar Radisic; Nancy Heylen; Gerald Beyer; Leonardus Leunissen; Philippe M. Vereecken

Extending copper electrochemical deposition to 3x nm nodes and beyond requires a new plating approach that is not constrained by typical PVD copper seed step coverage performance. To this purpose, we propose a copper direct plating process on Plasma Enhanced Atomic Layer Deposition (PEALD) Ru-based resistive substrates, where the Cu seed is deposited in-situ during the front propagation from the edge to the center of the wafer. In order to understand the full-wafer copper direct plating process that occurs on these liners, the effect of plating tool advanced features, applied waveform, plating chemistry and substrate surface activation on the subsequent plated copper nucleation behavior are studied.


Optical Microlithography XVIII | 2005

Mask Induced Polarization Effects at High NA

Andrew Estroff; Yongfa Fan; Anatoly Bourov; Bruce W. Smith; Philippe Foubert; Leonardus Leunissen; Vicky Philipsen; Yuri Aksenov

It is important to understand how a photomask will polarize incident radiation. This paper presents data collected on binary mask and various attenuated phase shifting mask materials, feature sizes, duty ratios, and illumination schemes via rigorous coupled wave analysis, extinction spectroscopy, and 193nm lithographic evaluation. Additionally, the result of polarization effects due to the photomask on imaging has been studied. It was found that in the majority of the cases, higher NA led to greater polarization effects. All mask materials predominantly pass the TM polarization state for the 0 order, whereas different materials and duty ratios affect the polarization of the first diffracted orders differently. The polarization effects contributed by mask materials being considered for use in high NA imaging systems need to be examined. The degree of polarization as a function of n and k is presented, providing an introduction to the desirable properties of future mask materials. Materials with higher refractive indices and lower extinction coefficients tend to pass more of the TM polarization state, which is undesirable. Materials with lower indices and relatively wide range of extinction coefficients pass more TE polarized radiation. The duty ratio, critical dimension, mask material, material thickness, and illumination scheme all influence mask induced polarization effects.


Optical Microlithography XVIII | 2005

Experimental measurements of diffraction for periodic patterns by 193-nm polarized radiation compared to rigorous EMF simulations

Marylyn Hoy Bennett; Andrew Grenville; Scott Hector; Shane R. Palmer; Leonardus Leunissen; Vicky Philipsen; Theodore M. Bloomstein; Dennis E. Hardy; Mordechai Rothschild; James N. Hilfiker

Polarization dependent diffraction efficiencies in transmission through gratings on specially designed masks with pitch comparable to the wavelength were measured using an angle-resolved scatterometry apparatus with a 193 nm excimer source. Four masks - two binary, one alternating and one attenuated phase shift mask - were included in the experimental measurements. The validity of models used in present commercially available simulation packages and additional polarization effects were evaluated against the experimental scattering efficiencies.


Meeting Abstracts | 2007

Damage Clustering and Damage-Size Distributions After Megasonic Cleaning

Cinzia De Marco; Kurt Wostyn; Twan Bearda; Kenichi Sano; Karine Kenis; Tom Janssens; Leonardus Leunissen; Atsuro Eitoku; P. Mertens

Physically-assisted-cleaning methods, e.g. megasonic cleaning, are evaluated by comparing particle removal efficiency (PRE) with added damage. [1] By identifying and classifying the added defects and linking them to the cleaning conditions, we expect to identify the weak spots in device structures and propose improved cleaning conditions. Weak spots could be related to material properties (e.g. line width roughness, poly-Si height variation, poly grain interfaces, etc). Aim of this work is to investigate if the defect distribution is supported by a random distribution of damage sites. Moreover we will study the impact of the megasonic cleaning settings on the damage size distribution.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Comparative study of bi-layer attenuating phase-shifting masks for hyper-NA lithography

Masaki Yoshizawa; Vicky Philipsen; Leonardus Leunissen; Eric Hendrickx; Rik Jonckheere; Geert Vandenberghe; Ute Buttgereit; Hans Becker; Corinna Koepernik; Mathias Irmscher

Most IC manufacturers are considering MoSi to be the material of conventional 6% attenuating phase-shifting masks (attPSM) in hyper-NA lithography (50 nm half pitch node and smaller). However, simulation results show that Cr-based binary-intensity mask (BIM) outperforms the attPSM at dense lines and spaces (LS) patterns in hyper-NA lithography. A reason lies in the transmitted polarization state through the mask. The attPSM is found to be a transverse-magnetic polarizer for hyper-NA imaging, while the BIM acts as a transverse-electric polarizer, which is beneficial for imaging. Using a metal-based absorber of the attPSM has potential for improving the degree of polarization of transmitted light. In our previous work absorber thickness of bi-layer attPSM, i.e. Ta/SiO2, was optimized through three-dimensional electromagnetic field (3D-EMF) simulations for better imaging performance than the MoSi attPSM. In this study, the thickness-optimized Ta/SiO2 attPSM was fabricated to compare the imaging performance with the standard Ta/SiO2 and MoSi attPSMs with 6% transmission and 180o phase shift. The thickness-optimized Ta/SiO2 attPSM has 1% transmission due to 50% thicker Ta than the standard, while the 180o phase shift is controlled by SiO2 thickness. The exposure latitude of 45 nm LS delineated by using an NA1.20 full-field scanner with xy-polarized cquadrupole was 15.7%, 13.4%, and 10.1% with depth of focus of 200 nm for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, respectively. Line width roughness of the Ta/SiO2 attPSMs was approximately 5.5 nm for the 45 nm LS, which was comparable to MoSi. Mask-error-enhancement factor (MEEF) of the 45 nm LS was 4.4, 4.9, and 3.8 for the optimized Ta/SiO2, the standard Ta/SiO2, and MoSi, though the simulation expected MEEF values of 4.1, 5.5, and 6.3, respectively. Because the transmission and the phase shift measured by normal incidence are not linked directly with the imaging performance in the hyper-NA lithography with off-axis illumination, the mask materials and structures need to be optimized by using 3D-EMF simulators for the better imaging quality.

Collaboration


Dive into the Leonardus Leunissen's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick Ong

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Twan Bearda

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Kurt Wostyn

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge