Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where William A. Muth is active.

Publication


Featured researches published by William A. Muth.


Optical Engineering | 1992

Accuracy of overlay measurements: tool and mark asymmetry effects

Alexander Starikov; Daniel J. Coleman; Patricia J. Larson; Alexander D. Lopata; William A. Muth

Definitions of centerline and centerline overlay (O/L) are built on the implicit assumptions of certain symmetries. Verification of these symmetries, and the use of redundancies built into the design of O/L measurement marks, can estimate the relative accuracy of O/L measurements, even in the absence of certified reference materials (standards). Verification carried out as of paired comparison is shown to be very effective; in addition, it constitutes the basis for data culling. Several sources of O/L measurement inaccuracy, associated with O/L measurement tools and with O/L measurement marks, are pointed out and some are illustrated using modeling. A simple measure of tool-related inaccuracy, tool-induced shift (TIS), is proposed and utilized as a performance estimate of O/L measurement tools relative to accuracy. Inaccuracies of O/L measurements, caused by O/L measurement tools and marks, on the order of 100 nm, are observed and their causes identified. Examples are given of significant improvements in accuracy. A roadmap toward accurate O/L measurement is outlined. This approach is also applicable to alignment systems.


Proceedings of SPIE | 2007

Blossom overlay metrology implementation

Christopher P. Ausschnitt; Wei-Kan Chu; D. Kolor; J. Morillo; J. Morningstar; William A. Muth; C. Thomison; R. J. Yerdon; L. A. Binns; P. Dasari; H. Fink; N. P. Smith; G. Ananew

Improved overlay capability and sampling to control advanced lithography has accelerated the need for compact, multilayer/ mask/field/mark overlay metrology. The Blossom approach minimizes the size of the overlay marks associated with each layer while maximizing the density of marks within the overlay metrology tools field of view (FOV). Here we describe our progress implementing this approach in 45nm manufacturing.


Proceedings of SPIE | 2010

Smaller, smarter, faster, and more accurate: the new overlay metrology

Nelson Felix; Allen H. Gabor; William A. Muth; Christopher P. Ausschnitt

With the introduction of double patterning, overlay capability below 5nm is required for optical lithography density scaling to the 22nm node and beyond. Commensurate overlay metrology must enable dense sampling of all patterned area to control single-nanometer systematic sources of error among an increasing number of device layers. This translates to the need for sub-second measurement of microscopic targets representing multiple layers within a metrology tool field of view, all while improving accuracy. Blossom (BLO) is the overlay metrology of record for the IBM 32nm technology. As we will describe here, the densely packed array of layers represented in a single BLO target has enabled us to conduct within-field in-line sampling on our most critical layers. We will also report the significant improvements to metrology performance that have resulted from our migration of BLO technology to a new measurement platform. In addition, as 22nm development proceeds, we are shrinking our overlay targets further. A target suitable for within-chip insertion, a 10μm square micro-Blossom (μBLO) target, can accommodate up to 8 layers. Correlation of μBLO to BLO measurements on a layer pair shows excellent agreement, and despite an approximately 10X area shrink relative to BLO, the μBLO measurement uncertainty remains comfortably below 0.5nm. Our paper presents details of our target layout, measurement, and analysis approach. In addition, we detail data representative of overlay variation in state-of-the-art lithographic processes, along with our outlook for overlay metrology implementation for future technologies.


Proceedings of SPIE | 2009

Monitoring measurement tools: new methods for driving continuous improvements in fleet measurement uncertainty

Eric P. Solecky; Chas Archie; Matthew Sendelbach; Ron Fiege; Mary Zaitz; Dmitriy Shneyder; Carlos Strocchia-rivera; Andres Munoz; Srinivasan Rangarajan; William A. Muth; Andrew Brendler; Bill Banke; Bernd Schulz; Carsten Hartig; Jon-Tobias Hoeft; Alok Vaid; Mark C. Kelling; Benjamin Bunday; John Allgair

Ever shrinking measurement uncertainty requirements are difficult to achieve for a typical metrology toolset, especially over the entire expected life of the fleet. Many times, acceptable performance can be demonstrated during brief evaluation periods on a tool or two in the fleet. Over time and across the rest of the fleet, the most demanding processes often have measurement uncertainty concerns that prevent optimal process control, thereby limiting premium part yield, especially on the most aggressive technology nodes. Current metrology statistical process control (SPC) monitoring techniques focus on maintaining the performance of the fleet where toolset control chart limits are derived from a stable time period. These tools are prevented from measuring product when a statistical deviation is detected. Lastly, these charts are primarily concerned with daily fluctuations and do not consider the overall measurement uncertainty. It is possible that the control charts implemented for a given toolset suggest a healthy fleet while many of these demanding processes continue to suffer measurement uncertainty issues. This is especially true when extendibility is expected in a given generation of toolset. With this said, there is a need to continually improve the measurement uncertainty of the fleet until it can no longer meet the needed requirements at which point new technology needs to be entertained. This paper explores new methods in analyzing existing SPC monitor data to assess the measurement performance of the fleet and look for opportunities to drive improvements. Long term monitor data from a fleet of overlay and scatterometry tools will be analyzed. The paper also discusses using other methods besides SPC monitors to ensure the fleet stays matched; a set of SPC monitors provides a good baseline of fleet stability but it cannot represent all measurement scenarios happening in product recipes. The analyses presented deal with measurement uncertainty on non-measurement altering metrology toolsets such as scatterometry, overlay, atomic force microscopy (AFM) or thin film tools. The challenges associated with monitoring toolsets that damage the sample such as the CD-SEMs will also be discussed. This paper also explores improving the monitoring strategy through better sampling and monitor selection. The industry also needs to converge regarding the metrics used to describe the matching component of measurement uncertainty so that a unified approach is reached regarding how to best drive the much needed improvements. In conclusion, there will be a discussion on automating these new methods3,4 so they can complement the existing methods to provide a better method and system for controlling and driving matching improvements in the fleet.


Advanced Process Control and Automation | 2003

Industrial strength lithography APC

Christopher P. Ausschnitt; Brian C. Barker; William A. Muth; Marc Postiglione; Thomas Walentosky

Fully automated semiconductor manufacturing, becoming a reality with the ramping of 300mm fabricators throughout the world, demands the integration of advanced process control (APC). APC is particularly critical for the lithography sector, whose performance correlates to yield and whose productivity often gates the line. We describe the implementation of a comprehensive lithography APC system at the IBM Center for Nanoelectronics, a 300mm manufacturing and development facility. The base lithography APC function encompasses closed-loop run-to-run control of exposure tool inputs to sustain the overlay and critical dimension outputs consistent with product specifications. Automation demands that no decision regarding the appropriate exposure tool run-time settings be left to human judgment. For each lot, the APC system provides optimum settings based on existing data derived from pertinent process streams. In the case where insufficient prior data exists, the APC system either invokes the appropriate combination of send ahead processing and/or pre-determined defaults. We give specific examples of the application of APC to stitched field and dose control, and quantify its technical benefits. Field matching < 0.1 ppm and critical dimension control < 2.5% is achieved among multiple exposure tools and masks.


international conference on advanced thermal processing of semiconductors | 2010

Experimental investigation of the rapid thermal process slip window

Joseph F. Shepard; William A. Muth; Shawn MacNish

Throughout the history of rapid thermal processing the generation and subsequent elimination of crystalline slip has been an ongoing battle. The combination of high temperatures, temperature gradients, and strain rates typically present in RTP/RTA systems often result in the deformation of the silicon substrate which in turn, leads to problems with lithographic overlay. In recent years, the issue has become more troublesome as technologies continue to scale. A number of events have been documented at IBM where qualified, healthy machines have impacted process yield via the permanent (albeit subtle) deformation of product wafers. Even though a strong correlation between RTP, stress/slip, and overlay failure has been established in the past this work was an attempt to investigate the more subtle nature of recent events in an empirical and systematic fashion. To that end a multi-faceted study was conducted with three specific objectives; (1) to elucidate the relationship between process conditions and overlay failure, (2) to develop a robust automated measurement for stress/slip detection, and (3) to use those techniques and results as a basis from which to better define process windows and manufacturing control strategies. Results from this investigation indicated a critical dependence on pyrometer offset deltas at the wafer edge with values as small as +2C found to produce unacceptable levels of stress. In all cases, the temperature offset window was found to be ∼ 10C wide with the exact location of the slip free conditions dependent on the particulars of the processes and the process chamber.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Layout optimization for multilayer overlay targets

L. A. Binns; N. P. Smith; Christopher P. Ausschnitt; J. Morningstar; William A. Muth; J. Schneider; R. J. Yerdon

A novel overlay target developed by IBM and Accent Optical Technologies, Blossom, allows simultaneous overlay measurements of multiple layers (currently, up to 28) with a single target. This is achieved by a rotationally symmetric arrangement of small (4 micron) targets in a 50 micron square area, described more fully in a separate paper. In this paper, we examine the lessons learned in developing and testing the Blossom design. We start by examining proximity effects; the spacing of adjacent targets means that both the precision-like Total Measurement Uncertainty (TMU) and accuracy of a measurement can be affected by proximity of features. We use a mixture of real and modelled data to illustrate this problem, and find that the layout of Blossom reduces the proximity-induced bias. However, we do find that in certain cases proximity effects can increase the TMU of a particular measurement. The solution is to ensure that parts of the target that interact detrimentally are maximally separated. We present a solution to this, viewing the problem as a constrained Travelling Salesman Problem. We have imposed some global constraints, for example printing front-end and back-end layers on separate targets, and consistency with the overlay measurement strategy. Initially, we assume that pairwise measurements are either critical or non-critical, and optimize the layout so that the critical layers are both not placed adjacent to any prior or intermediate-layer features. We then build upon this structure, to consider the effect of low-energy implants (that cannot be seen once processed) and site re-use possibilities. Beyond this, we also investigate the impact of more strategic optimizations, for example, tuning the number of features on each layer. In each case, we present on-product performance data achieved, and modelled data on some additional target variants / extreme cases.


Archive | 1995

Method of measuring bias and edge overlay error for sub-0.5 micron ground rules

Christopher P. Ausschnitt; William A. Muth


Archive | 1995

Edge overlay measurement target for sub-0.5 micron ground rules

Christopher P. Ausschnitt; William A. Muth


Archive | 2001

Combined layer-to-layer and within-layer overlay control system

Christopher P. Ausschnitt; William A. Muth

Researchain Logo
Decentralizing Knowledge