Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Xiaoqing Wen is active.

Publication


Featured researches published by Xiaoqing Wen.


international test conference | 2012

On pinpoint capture power management in at-speed scan test generation

Xiaoqing Wen; Y. Nishida; Seiji Kajihara; Patrick Girard; Mohammad Tehranipoor; Laung-Terng Wang

This paper proposes a novel scheme to manage capture power in a pinpoint manner for achieving guaranteed capture power safety, improved small-delay test capability, and minimal test cost impact in at-speed scan test generation. First, switching activity around each long path sensitized by a test vector is checked to characterize it as hot (with excessively-high switching activity), warm (with normal/functional switching activity), or cold (with excessively-low switching activity). Then, X-restoration/X-filling-based rescue is conducted on the test vector to reduce switching activity around hot paths. If the rescue is insufficient to turn a hot path into a warm path, mask is then conducted on expected test response data to instruct the tester to ignore the potentially-false test response value from the hot path, thus achieving guaranteed capture power safety. Finally, X-restoration/X-filling-based warm-up is conducted on the test vector to increase switching activity around cold paths for improving their small-delay test capability. This novel approach of pinpoint capture power management has significant advantages over the conventionalapproachofglobalcapturepower management, as demonstrated by evaluation results on large ITC99 benchmark circuits and detailed path delay analysis.


System-on-Chip Test Architectures#R##N#Nanometer Design for Testability | 2008

Low-Power Testing

Patrick Girard; Xiaoqing Wen; Nur A. Touba

Publisher Summary Numerous studies from academia and industry have shown the need to reduce power consumption during testing of digital and memory designs. This chapter discusses issues arising from excessive power consumption during test application and provides structural and algorithmic solutions that can alleviate the low-power test problems. Both structural and algorithmic solutions are described along with their impacts on parameters such as fault coverage, test time, area overhead, circuit performance penalty, and design flow modification. These solutions cover a broad spectrum of testing environments, including scan testing, scan-based built-in self-test (BIST), test-per-clock BIST, test compression, and memory testing. Although the solutions presented can be used to address most of the problems caused by excessive test power, not all problems have been solved. One concern is when multiple issues arise at the same time when developing low-power test solutions. For instance, almost all digital circuits today have scan chains and quite a few require test compression for test data volume reduction along with at-speed testing for screening timing defects. Thus far, solutions proposed to address the problem of low-power scan testing when both test compression and at-speed scan testing are used. Further, concerns arise from how testing is to be done when new low-power design techniques, such as dynamic power management and multiple-voltage design techniques, are employed. The idea of dynamic power management is to shut down parts of a design when they are idle.


design, automation, and test in europe | 2015

GPU-accelerated small delay fault simulation

Eric Schneider; Stefan Holst; Michael A. Kochte; Xiaoqing Wen; Hans-Joachim Wunderlich

The simulation of delay faults is an essential task in design validation and reliability assessment of circuits. Due to the high sensitivity of current nano-scale designs against smallest delay deviations, small delay faults recently became the focus of test research. Because of the subtle delay impact, traditional fault simulation approaches based on abstract timing models are not sufficient for representing small delay faults. Hence, timing accurate simulation approaches have to be utilized, which quickly become inapplicable for larger designs due to high computational requirements. In this work we present a waveform-accurate approach for fast high-throughput small delay fault simulation on Graphics Processing Units (GPUs). By exploiting parallelism from gates, faults and patterns, the proposed approach enables accurate exhaustive small delay fault simulation even for multimillion gate designs without fault dropping for the first time.


european test symposium | 2015

Identification of high power consuming areas with gate type and logic level information

Matthias Sauer; Bernd Becker; Xiaoqing Wen; Seiji Kajihara

Power-related problems in at-speed scan testing have become more and more serious, since excessive IR-drop caused by excessive power consumption results in overtesting. There are two important factors in low-power testing: one is power estimation, the other is power reduction. Several estimation methods have been proposed based on the analysis of switching activity characteristics. In order to estimate the impact of IR-drop, it is more important to consider the area containing many cells which consume excessive power than to consider the total number of switching activity in a circuit. In this paper, we propose a novel method for identifying areas where excessive IR-drop likely occurs without using test vectors. Visualized experimental results for IWLS 2005 benchmark circuits demonstrate that the proposed method can effectively identify areas containing many cells which consume higher power than others. Such areas identified can be used in low-power test generation so as to achieve effective and efficient results.


IEEE Transactions on Very Large Scale Integration Systems | 2016

Test Pattern Modification for Average IR-Drop Reduction

Wei-Sheng Ding; Hung-Yi Hsieh; Cheng-Yu Han; James Chien-Mo Li; Xiaoqing Wen

This paper presents a novel technique that modifies automatic test pattern generation test patterns to reduce time-averaged IR drop of a test pattern. We propose a fast average IR drop estimation, which is very close to the time-averaged IR drop of time-consuming transient simulation (R2 = 0.99). We calculate the contribution of every node to these nodes inside IR-drop hotspot so that we can effectively modify only a few dont care bits in the test patterns to reduce IR drop. The experimental results show that our technique successively reduces time-averaged IR drop by 10% with almost no fault coverage loss and no test pattern inflation.


asian test symposium | 2013

Search Space Reduction for Low-Power Test Generation

Matthias Sauer; Berund Becker; Xiaoqing Wen; Seiji Kajihara

Ongoing research to shrink feature sizes of LSI circuits leads to an always increasing number of logic gates in a circuit. In general, the complexity of test generation depends on the size of a circuit. Furthermore, modern test generation methods have to consider power reduction in addition to fault detection, since excessive power caused by testing may result in over testing. In this work, we propose a method to reduce the computation time of low-power test generation. The proposed method specifies gates which will cause power issues, consequently reducing the search space for X-filling technique. The reduction of search space for Xfilling also further minimizes the amount of switching activity. Experimental results for circuits of Open Cores provided by IWLS2005 benchmarks show that the proposed method achieves both a reduced computation time and at the same time increased power reduction compared to previous methods.


IEEE Design & Test of Computers | 2013

LCTI–SS: Low-Clock-Tree-Impact Scan Segmentation for Avoiding Shift Timing Failures in Scan Testing

Yuta Yamato; Seiji Kajihara; Xiaoqing Wen; Laung-Terng Wang; Michael A. Kochte

In this contribution, the authors describe a method for ensuring that false failures do not occur when shifting scan chains for testing. Their approach identifies an optimal combination of scan segments for simultaneous clocking that reduces the switching activity near clock trees while maintaining the average power reduction for conventional scan segmentation. Experiments using various benchmark circuits demonstrate the overall utility of their approach.


international conference on intelligent systems, modelling and simulation | 2012

Fault Detection with Optimum March Test Algorithm

Nor Azura Zakaria; Wan Zuha Wan Hasan; Izhal Abdul Halin; Roslina Mohd Sidek; Xiaoqing Wen

Integrating a large number of embedded memories in System-on-Chips (SoCs) occupies up to more than 70% of the die size, thus requiring Built-In Self-Test (BIST) with the smallest possible area overhead. This paper analyzes MATS++(6N), March C-(10N), March SR(14N), and March CL(12N) test algorithms and shows that they cannot detect either Write Disturb Faults (WDFs) or Deceptive Read Destructive Faults (DRDFs) or both. Therefore to improve fault detection, an automation program is developed based on sequence operation (SQ) generation rules. However after solving the undetected fault, the outcome in term of its detection result of Static Double Cell Faults using the specified test algorithm especially Transition Coupling Faults (CFtrs), Write Destructive Coupling Faults (CFwds), Read Destructive Coupling Faults (CFrds) and Deceptive Read Destructive Faults (CFdrds) are observed.


european test symposium | 2015

A soft-error tolerant TCAM using partial don't-care keys

Infall Syafalni; Tsutomu Sasao; Xiaoqing Wen; Stefan Holst

This paper proposes a novel soft-error tolerant TCAM using partial dont-care keys (X-keys), namely TX, which significantly enhances the tolerance of the TCAM against soft errors. Experimental results show that the soft-error tolerance of the TX outperforms existing schemes. Moreover, the overhead of the TX is very small.


european test symposium | 2012

Power-aware testing: The next stage

Xiaoqing Wen

Complex power management circuitry in low-power designs and the excessive gap between functional power and test power have made power-aware testing (DFT and test generation) a must. Although significant progress has been made in the past decade, more is still needed in order to achieve test power safety while maximizing test quality and minimizing test cost. This paper highlights the needs for moving to the next-stage of power-aware testing, primarily characterized by a shift of focus from global test power reduction to pinpoint test power management.

Collaboration


Dive into the Xiaoqing Wen's collaboration.

Top Co-Authors

Avatar

Seiji Kajihara

Kyushu Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Stefan Holst

Kyushu Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Patrick Girard

University of Montpellier

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yuta Yamato

Kyushu Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Akihiro Tomita

Kyushu Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge