Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yasunobu Someya is active.

Publication


Featured researches published by Yasunobu Someya.


ACS Nano | 2017

Directed Self-Assembly and Pattern Transfer of Five Nanometer Block Copolymer Lamellae

Austin P. Lane; XiaoMin Yang; Michael J. Maher; Gregory Blachut; Yusuke Asano; Yasunobu Someya; Akhila Mallavarapu; Stephen M. Sirard; Christopher J. Ellison; C. Grant Willson

The directed self-assembly (DSA) and pattern transfer of poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS) is reported. Lamellae-forming PVBD-b-PDSS can form well resolved 5 nm (half-pitch) features in thin films with high etch selectivity. Reactive ion etching was used to selectively remove the PVBD block, and fingerprint patterns were subsequently transferred into an underlying chromium hard mask and carbon layer. DSA of the block copolymer (BCP) features resulted from orienting PVBD-b-PDSS on guidelines patterned by nanoimprint lithography. A density multiplication factor of 4× was achieved through a hybrid chemo-/grapho-epitaxy process. Cross-sectional scanning tunneling electron microscopy/electron energy loss spectroscopy (STEM/EELS) was used to analyze the BCP profile in the DSA samples. Wetting layers of parallel orientation were observed to form unless the bottom and top surface were neutralized with a surface treatment and top coat, respectively.


Proceedings of SPIE | 2016

Interactions between plasma and block copolymers used in directed self-assembly patterning

Stephen M. Sirard; Laurent Azarnouche; Emir Gurer; William J. Durand; Michael J. Maher; Kazunori Mori; Gregory Blachut; Dustin W. Janes; Yusuke Asano; Yasunobu Someya; Diane J. Hymes; David B. Graves; Christopher J. Ellison; C. Grant Willson

The directed self-assembly (DSA) of block copolymers offers a promising route for scaling feature sizes below 20 nm. At these small dimensions, plasmas are often used to define the initial patterns. It is imperative to understand how plasmas interact with each block in order to design processes with sufficient etch contrast and pattern fidelity. Symmetric lamella forming block copolymers including, polystyrene-b-poly(methyl methacrylate) and several high-χ silicon-containing and tin-containing block copolymers were synthesized, along with homopolymers of each block, and exposed to various oxidizing, reducing, and fluorine-based plasma processes. Etch rate kinetics were measured, and plasma modifications of the materials were characterized using XPS, AES, and FTIR. Mechanisms for achieving etch contrast were elucidated and were highly dependent on the block copolymer architecture. For several of the polymers, plasma photoemissions were observed to play an important role in modifying the materials and forming etch-resistant protective layers. Furthermore, it was observed for the silicon- and tin-containing polymers that an initial transient state exists, where the polymers exhibit an enhanced etch rate, prior to the formation of the etch-resistant protective layer. Plasma developed patterns were demonstrated for the differing block copolymer materials with feature sizes ranging from 20 nm down to approximately 5 nm.


Proceedings of SPIE | 2012

Spin-on-carbon-hardmask with high wiggling resistance

Yasunobu Someya; Tetsuya Shinjo; Keisuke Hashimoto; Hirokazu Nishimaki; Ryo Karasawa; Rikimaru Sakamoto; Takashi Matsumoto

For the mass production of the advanced semiconductor device, the multi-layer process has been used for the essential technique {photoresist/ silicon contained hard mask (Si-HM)/ spin-on-carbon-hardmask (SOC)}. Spin -on-Carbon material plays a very important role during the etching process of substrates. The substrate etching process induces severe pattern deformations (called wiggling) especially with fine line/space patterns. Therefore, both the high etching resistances and the high wiggling resistance are demanded for SOC materials. In this study, we investigated the etching performances with several SOC materials. We found that the relationships between SOC properties and the resistance for wiggling generation. We will discuss the material design of novel SOC for high wiggling resistance.


Spie Newsroom | 2016

Plasma etch of block copolymers for lithography

Stephen M. Sirard; Laurent Azarnouche; Emir Gurer; William J. Durand; Michael J. Maher; Kazunori Mori; Gregory Blachut; Dustin W. Janes; Yusuke Asano; Yasunobu Someya; Diane J. Hymes; David B. Graves; Christopher J. Ellison; Grant Willson

To date, the semiconductor manufacturing industry has relied on optical lithography to enable the scaling of devices to ever smaller dimensions. Developers use extreme UV lithography to print very small features, but this technology has suffered numerous technical delays and is still not feasible for highvolume manufacturing. The industry is therefore pursuing new schemes, such as multiple patterning, that are intended to improve 193nm immersion lithography (the technique that uses a liquid with a relatively high refractive index to enhance resolution). These approaches have enabled successful fabrication of features smaller than the resolution limit of the 193nm immersion tools. However, their drawbacks are increased process complexities and higher costs. One potentially lower-cost alternative for generating smaller structures is the directed self-assembly (DSA) of block copolymers (BCPs),1, 2 where a BCP is deposited and aligned and one block is removed to form a pattern. There has been tremendous progress in advancing DSA patterning, yet significant hurdles remain to its commercial adoption. These include the need to reduce patterning defects and to integrate these materials into robust patterning schemes. The BCPs need to show resistance to the reactive ion etch (RIE) plasmas that are used to pattern the underlying materials. Furthermore, at such small dimensions, the initial pattern is often created using a dry RIE plasma (rather than a wet process in order to prevent collapse of the mask from capillary forces during drying). Therefore, it is necessary to have high etch contrast between the individual blocks of the BCP, and because welloriented BCP film thicknesses are typically less than 50nm. Figure 1. Scanning electron microscope (SEM) image of a crosssection of self-assembled poly(styrene-block-methyl methacrylate) (PSb-PMMA) with 21nm domains prior to PMMA removal (a) and post reactive ion etch (RIE) removal of PMMA domains (b). Minimal mask loss of polystyrene was observed.


Proceedings of SPIE | 2016

Novel neutral under layer materials to enhance the photolithography performance and defectivity for chemo-epitaxy process

Ryuta Mizuochi; Hiroyuki Wakayama; Yasunobu Someya; Rikimaru Sakamoto

Neutral layer (NL) material is one of the key materials for aligning block-co-polymer (BCP). In this study, NLs were designed and investigated, which have the capability to enhance the photo-lithography performance, a good alignment performance of BCP, and reduce the defectivity after chemo-epitaxy process. In order to enhance the photo-lithography performance, some new polymers were prepared with chromophores to control n/k value and adhesive unit interacted with the photo resist. The surface energy of these materials was adjusted to the neutral for BCP by controlling the ratio of chromophore and adhesion unit. The defects were also investigated and achieved low defectivity by optimized materials. Since this material has the above properties, it shows a good perpendicularly alignment pattern of BCP and a photolithography performance.


Proceedings of SPIE | 2012

Development of Si-HM for NTD process

Wen Liang Huang; Yu Chin Huang; Bo Jou Lu; Yi Jing Wang; Yeh Sheng Lin; Chun Chi Yu; Satoshi Takeda; Yasunobu Someya; Makoto Nakajima; Yuta Kanno; Hiroyuki Wakayama; Rikimaru Sakamoto

Negative Tone Development (NTD) process with ArF immersion has been developed for the next generation lithography technology because it shows good resolution performance and process window for C/H and trench patterning. Because of the etch requirement, tri-layer process has been used popularly. However, most of the Si-HM materials are optimized for positive tone development process and most of them show poor lithography performance in NTD process. In this paper, we study the behaviors of Si-HM for NTD process, develop new concepts and optimize the formulation of Si-HM to match the resist for NTD process bellow N28 node device.


International Conference on Extreme Ultraviolet Lithography 2018 | 2018

Advanced development of organic and inorganic under layers for EUV lithography (Conference Presentation)

Wataru Shibayama; Shuhei Shigaki; Satoshi Takeda; Mamoru Tamura; Yasunobu Someya; Makoto Nakajima; Rikimaru Sakamoto

EUV lithography has been desired as the leading technology for below Hp20nm. However, the source power, masks and resist materials still have critical issues for mass production. Especially in resist materials, RLS trade-off is the key issue. To overcome this issue, we are focusing on Organic & Inorganic Hard Mask as the bottom layer of EUV PR. Especially, Inorganic under layers (Si-HM) can perform not only as the lithographic performance enhancement layer for fine pitch, but also as the etching hard mask against bottom layer (spin on carbon : SOC). In this paper, we especially propose new approaches to achieve high resolution below hp16nm. The key points of our concepts are EUV-sensitive units of Si-HM. This new EUV sensitive Si-HM could resolve Hp14nm L/S pattern with wide DOF margin. It can also perform as the high universal materials in any development process (PTD & NTD) and any PR materials. Moreover, the latest Organic under layers developed for the advanced EUV CAR PR & Metal resist also will be discussed in the paper. From the Organic & Inorganic under layer material design, we will present new concepts to get high resolution in EUVL.


Advances in Patterning Materials and Processes XXXV | 2018

A progress report on DSA of high-chi silicon containing block co-polymers (Conference Presentation)

Stephen M. Sirard; Yasunobu Someya; Austin P. Lane; Geert Vandenberghe; XiaoMin Yang; Paulina Rincon-Delgadillo; Christopher J. Ellison; C. Grant Willson; Natsuko Ito; Gregory Blachut; Jan Doise; Ryuta Mizuochi

We have developed block co-polymers (BCPs) in which one of the blocks incorporates silicon and the other does not [1]. These materials provide access to BCPs with high Flory-Huggins interaction parameters (χ) and dry etch selectivity under reactive ion etching (RIE) conditions to provide Sub-20 nm patterns [2]. Recently we have investigated a hybrid chemo/grapho-epitaxy process that provides 20 nm and 10 nm full pitch patterning and we have transferred these patterns into useful substrates. This hybrid process produced 20 nm DSA with fewer defects with this material than the conventional chemo-epitaxial process. Cross-sectional scanning transmission electron microscopy (STEM) with electron energy loss spectroscopy (EELS) confirmed that the BCP features span the entire film thickness on hybrid process wafers [3]. We have now succeeded in demonstrating DSA with poly(4-methoxystyrene-block-4-trimethylsilylstyrene) (PMOST-b-PTMSS) aligned by guidelines comprised of cross linked poly(2-vinylpyridine) (Figure a). The process was demonstrated by cross-section analysis to produce features that span the entire BCP film thickness and the introduction of nitrogen into the guide line provides new evidence for the nature of the interaction between the guide lines and the BCP(Figure b). We have also reported the DSA and pattern transfer of poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS) at 10 nm full pitch. However, in this case, the DSA involved a trade-off between perpendicularity and dislocation defects [4]. Improved brush materials that selectively graft to an etched Cr surface rather than etched imprint resist provide oriented and aligned 5 nm line-and-space patterns that cleanly traverse the full film thickness thickness (Figure c). 1. Bates C. M., et al. Science (2012), 338 (6108), 775. 2. Azarnouchea, L., et al. J. Vac. Sci. Technol. B (2016) 34 (6), 061602/1-061602/10. 3. Blachut, G., et al. Chem. Mater (2016), 28 (24), 8951-8961. 4. Lane A. P., et al. ACS Nano (2017), 11 (8), 7656-i7665.


Proceedings of SPIE | 2017

Orientation control of silicon containing block-co-polymer with resolution beyond 10nm

Yasunobu Someya; Ryuta Mizuochi; Hiroyuki Wakayama; Shinsuke Tadokoro; Masami Kozawa; Rikimaru Sakamoto

Directed Self-Assembly (DSA) process is one of the attractive processes for creating the very fine pitch pattern. In this technology, block-co-polymer is the key material to achieve a fine patterning. Many reports are published with Polystyrene-b-Polymethylmethacrylate (PS-b-PMMA) for DSA applications. But it is difficult to achieve the resolution below 10 nm with PS-b-PMMA because of its low chi value. Etching transfer of PS-b-PMMA is also the key issue due to the low etching selectivity between PS and PMMA during dry etching process. In this report, block-co-polymers that include a Si-containing monomer and an organic monomer were synthesized by living anionic polymerization to supply a high resolution and a high etching contrast. These polymers with a low polydispersity demonstrated lamella morphology that can be oriented by thermal annealing with a neutral surface treatment. The effects of underlayer and top-coat materials were investigated to control the block-co-polymer orientation. These block-co-polymers also achieve a high dry etching contrast.


Proceedings of SPIE | 2014

Novel surface treatment materials for aligning block-co-polymer in directed self-assembly processes

Yasunobu Someya; Hiroyuki Wakayama; Takafumi Endo; Rikimaru Sakamoto

Directed Self-Assembly (DSA) process is one of the attractive processes for creating the very fine pitch pattern. Especially, the contact hole shrink processes with block-co-polymer (BCP) or polymer blend materials were attractive processes for creating very small size hole patterns with better CD uniformity compare to general photo-lithography patterning. In general contact hole shrink process, the pattern of Spin-on Carbon Hardmask (SOC) or the photo Resist pattern created by Negative-Tone Development (NTD) process were selected for guide patterns. Since the alignment property of BCP was affected by the surface of these guide materials, it is important to control the surface condition of guide in order to obtain good shrunk contact hole patterns. In this study, we will report the surface treatment materials to control the surface condition of guide patterns such as SOC or NTD resist to achieve the better contact hole shrink performance. These materials were attached to guide pattern surface and controlled the surface energy.

Collaboration


Dive into the Yasunobu Someya's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hiroyuki Wakayama

Osaka Prefecture University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Gregory Blachut

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

C. Grant Willson

University of Texas System

View shared research outputs
Top Co-Authors

Avatar

Michael J. Maher

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Yusuke Asano

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge