Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yasutoshi Nakagawa is active.

Publication


Featured researches published by Yasutoshi Nakagawa.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Improvement of Mask Write Time for Curvilinear Assist Features at 22nm

Aki Fujimura; Ingo Bork; Taiichi Kiuchi; Tadashi Komagata; Yasutoshi Nakagawa; Kazuyuki Hagiwara; Daisuke Hara

In writing 22nm logic contacts with 193nm immersion, curvilinear sub-resolution assist features will be desirable on masks. Curvilinear sub-resolution assist features are good for high volume chips where the wafer volume outweighs considerations for mask write times. For those chips, even 40 hour write times are tolerated for mask writing. For lower-volume production of SOC designs, such write times are economically unacceptable. 8 to 12 hours of write times are feasible for these designs. Previous papers at 2010 Photomask Japan described model-based mask data preparation (MB-MDP) techniques using circular apertures on production e-beam writers writing curvilinear ideal ILT patterns that reduced e-beam write-times by nearly a factor of two over conventional approach writing Manhattanized ILT patterns. This puts the curvilinear assist features within the realm of high-volume production. However, the write times are still too long for SOC designs. This paper describes a new technique that reduces mask write time further. Resist-exposed SEM images will be shown, written by JEOL JBX-3200MV. E-beam shot count comparisons for an ideal ILT mask pattern will be made with the conventional methods, demonstrating a 44% decrease in blanking time. In addition, a comparison study is shown indicating that an ideal ILT mask pattern that would take 63 hours with conventional fracturing can be written in about 14 hours using MB-MDP. AIMS projected images demonstrate the pattern fidelity on the wafer.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Efficiently writing circular contacts on production reticle

Aki Fujimura; Christophe Pierrat; Taiichi Kiuchi; Tadashi Komagata; Yasutoshi Nakagawa

As we prepare for 32nm-hp with 193nm immersion, complex and sometimes curvilinear shapes are going to be required on masks. Contacts and vias will be circular or oval in shape on the wafer, but are still drawn as over-sized squares or rectangles on masks and in CAD systems. Yet, for packing density of designs, particularly for DRAMs and SRAMs, in order to optimize for diagonal distances, a circular via shape on the mask is desirable. In addition, a circle has by definition the minimum perimeter for a given area, improving manufacturing tolerance. This paper demonstrates new techniques for writing circles of arbitrary diameters on masks efficiently and accurately using a production e-beam mask writer. Resist-exposed SEM images are shown, demonstrating the practicality of writing circles as mask shapes for production reticles.


Photomask and next-generation lithography mask technology. Conference | 2001

Performance of improved e-beam lithography system JBX-9000MVII

Tadashi Komagata; Yasutoshi Nakagawa; Nobuo Gotoh; Kazumitsu Tanaka

An electron beam mask writing system JBX-9000MV for 150- 180nm technology node masks was developed by JEOL Ltd. and its design concept, technologies introduced and results of initial evaluation were reported in 1998. We have improved this system to cope with the production of masks for 130nm technology node. Some of the new technologies developed for the improvement of writing accuracy, especially CD accuracy, and the results are reported in this paper.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Improvement of position accuracy in mask-writing electron beam lithography with a multi-pass writing strategy for reducing position errors due to resist charging

Noriyuki Kobayashi; Kazuya Goto; Tetsuro Wakatsuki; Tadashi Komagata; Yasutoshi Nakagawa

This paper presents an experimental study of resist charging of mask blanks written with a variable shaped electron beam mask writer. Experiments were performed at a current density of 40 A/cm2 on mask blanks with a chemically amplified resist. Test patterns were written to examine the magnitude of the pattern shift due to resist charging and the distance within which the pattern shift is significant. To reduce the pattern shift due to resist charging, furthermore, similar test patterns were written with a two-pass scanning in which both horizontal and vertical scanning directions are different between the two passes. With this writing method, the pattern shift was successfully reduced to about half.


Photomask Japan '98 Symposium on Photomask and X-Ray Mask Technology V | 1998

Development of a next-generation e-beam lithography system for 1-Gb DRAM masks

Tadashi Komagata; Yasutoshi Nakagawa; Hitoshi Takemura; Nobuo Gotoh; Kazumitsu Tanaka

A new electron beam lithography system for masks needed in production of 1Gbit DRAM devices was developed and evaluated. The system features a variable shaped beam, 50 kV accelerating voltage, and a step and repeat stage, and incorporates new technologies, including a high resolution high current density electron optical system, a per-shot beam correction unit, a high precision beam detection system utilizing the curve fitting method, and a single-stage 20 bit beam deflection unit. The system achieves a minimum linewidth of 200 nm or less, pattern uniformity of 20 nm within field, and a positional accuracy, including field stitching accuracy, of 20nm within a field, resulting in an exposure speed at least 5 times faster than the existing model, the JBX-7000MVII.


Photomask and x-ray mask technology. Conference | 1997

Development of an electron-beam optical column for the mask lithography system

Tadashi Komagata; Yasutoshi Nakagawa; Hitoshi Takemura; Nobuo Gotoh

Mask accuracies for the newest and next generation devices are very tight. The SIA Roadmap indicates writing accuracies (CD uniformity) of 18 nm and 13 nm for 1-Gbit and 4-Gbit DRAM 4X reticles, respectively. To meet this challenge, a new electron optical column is being developed for an electron beam mask writing system. The column has a beam current density of 20 A/cm2 (50 kV), a beam blurring of 0.06 micrometer at a 16 micrometer2 beam size, and a total aberration of less than 0.05 micrometer at 1 mm deflection length. The key technologies for this column are as follows: (1) Shorter column length and wider beam half-angle for reduction of Coulomb interaction; (2) Per shot focus correction of space charge effect; (3) In-lens, single stage electrostatic beam deflection system with focus and astigmatism correction. In this paper, we report the simulation results of this electron optical column design.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Best depth of focus on 22-nm logic wafers with less shot count

Aki Fujimura; David Kim; Tadashi Komagata; Yasutoshi Nakagawa; Vikram Tolani; Tom Cecil

The contact layer for the 22 nm logic node faces many technological hurdles. Even using techniques such as multiple-exposure patterning and 193 nm immersion, it will be difficult to achieve the depth of focus and CD uniformity required for 22 nm production. Such difficulties can be mitigated by recent advances in Inverse Lithography Technology (ILT). For example, circular main features combined with complex curvilinear assist features can provide superior CD uniformity with the required depth of focus, particularly for isolated contacts. However, such a solution can lead to long mask write times, because the curvilinear shapes necessitate a higher shot count induced by inefficient data fracturing, even without considering the circular main features. The current approach is to Manhattanize the curvilinear features resulting in a nearly equivalent image quality on the wafer; but a further reduction in mask write times could help lower costs. This paper describes a novel mask-writing method that uses a production e-beam mask writer to write main features as circles, with curvilinear assist features, while reducing shot count compared to traditional Manhattanized masks. As a result the new method makes manufacturing of ideal ILT-type masks feasible from a technical as well as from an economic standpoint. Resist-exposed SEM images are presented that validate the new method.


Proceedings of SPIE, the International Society for Optical Engineering | 2010

Evaluation of a next generation EB mask writer for hp 32nm lithography

Tadashi Komagata; Takahisa Hasegawa; Kazuya Goto; Kenji Kono; Ryuuji Yamamoto; Naoki Nishida; Yasutoshi Nakagawa

Lithography technologies promising for the half pitch (HP) 32 nm generation include 193 nm immersion with water, extreme ultraviolet lithography (EUVL), and nano-imprint lithography (NIL). Among these, 193 nm immersion with water is considered a mainstream for hp 32 nm device fabrication in terms of performance and device production costs. Meanwhile, according to the International Technology Roadmap for Semiconductors (ITRS) 2009, the optical masks for hp 32 nm devices need to meet extremely strict requirements; for example, an image placement accuracy of 3.8 nm (2.7 nm for double patterning), and CD uniformities of 1.5 nm (isolated lines) and 2.4 nm (dense lines). To meet these accuracy requirements, we have developed JBX-3200MV, a variable shaped beam mask writer featuring an accelerating voltage of 50 kV and a current density of 70 A/cm2. For this new writer, we developed a new digital-to-analog converter (DAC) amplifier designed to reduce noises input to electron beam optics components such as the main and sub positioning deflectors and the beam shaping deflectors. The stage and exposure chambers were enhanced in rigidity to reduce mechanical noises. The position of the stage is measured by laser devices with a finer resolution of 0.15 nm, and the measured results are fed back to the beam position. In addition, data transfer speed and proximity correction speed were improved to handle larger data volumes. Our exposure test results demonstrate that the new lithography system, installed at the leading-edge mask production facility, achieved the hp 32 nm mask accuracies required by the ITRS 2009.


26th Annual International Symposium on Microlithography | 2001

Performance of the improved JBX-9000MV e-beam lithography system

Tadashi Komagata; Yasutoshi Nakagawa; Nobuo Gotoh; Kazumitsu Tanaka

An electron beam mask writing system JBX-9000MV for 150- 190nm technical node masks was improved to cope with the production of masks for 130nm technology node. Some of the new technologies developed for the improvement and their results are reported in this paper.


18th Annual BACUS Symposium on Photomask Technology and Management | 1998

Development of a Next Generation E-Beam Lithography System

Yasutoshi Nakagawa; Tadashi Komagata; Hitoshi Takemura; Nobuo Gotoh; Kazumitsu Tanaka

One of the keys for ULSI lithography at a feature size ranging from 180 nm to 150 nm is a stable supply of ultra high precision reticle masks. To meet this demand, we have developed a new electron beam lithography system for reticle masks which offers an exposure accuracy of 20 to 30 nm. The system features a variable shaped beam, 50 kV accelerating voltage, a step-and-repeat stage, nd incorporates new technologies. These include a high resolution-high current density electron optical system, a per-shot focus and shot time correction unit, a high precision beam measurement system utilizing the fitting function method, a single-stage 20 bit electrostatic beam deflection unit and beam-shot smoothing technology. The system achieves a minimum line width of 100 nm or less, a pattern size uniformity of 16 nm (3 sigma) within a field, a field stitching accuracy of plus or minus 19 nm or smaller, and a pattern placement accuracy of plus or minus 29 nm or smaller, resulting in an exposure speed of 3 to 5 times faster than the existing model.

Collaboration


Dive into the Yasutoshi Nakagawa's collaboration.

Researchain Logo
Decentralizing Knowledge