Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yohan Frans is active.

Publication


Featured researches published by Yohan Frans.


IEEE Journal of Solid-state Circuits | 2015

A 0.5–16.3 Gb/s Fully Adaptive Flexible-Reach Transceiver for FPGA in 20 nm CMOS

Yohan Frans; Declan Carey; Marc Erett; Hesam Amir-Aslanzadeh; Wayne Y. Fang; Didem Turker; Anup Jose; Adebabay M. Bekele; Jay Im; Parag Upadhyaya; Zhaoyin Daniel Wu; Kenny Hsieh; Jafar Savoj; Ken Chang

This paper describes a 0.5-16.3 Gb/s fully adaptive wireline transceiver embedded in 20 nm CMOS FPGA. The receiver utilizes bandwidth adjustable CTLE and adjustable output capacitance at the AGC to support wide range of channel loss profiles. A modified 11-tap, 1 bit speculative DFE topology provides reliable operation across all data rates. Low-latency digital CDR ensures high tracking bandwidth while still providing flexibility to support multiple protocols. The transceiver uses ring-oscillator with programmable main and cross-coupled inverter drive-strengths to wide range of operating frequency for low data-rate operation. A wide range low jitter LC-PLL utilizes feedback divider with synchronized CMOS down-counter without a prescaler to achieve a continuous divide ratio of 16-257. The clock distribution uses quadrature-error correction circuit to improve phase interpolator linearity. The transceiver achieves BER <;10-15 over a 28 dB loss backplane at 16.3 Gb/s and over legacy channels with 10 G-KR characteristics at 10.3125 Gb/s. The transceiver meets jitter tolerance specifications for both PCIe Gen3 at 8 Gb/s and PCIe Gen4 at 16 Gb/s in both common-clock and spread-spectrum modes.


symposium on vlsi circuits | 2016

A 56Gb/s PAM4 wireline transceiver using a 32-way time-interleaved SAR ADC in 16nm FinFET

Yohan Frans; Mohamed Elzeftawi; Hiva Hedayati; Jay Im; Vassili Kireev; Toan Pham; Jaewook Shin; Parag Upadhyaya; Lei Zhou; Santiago G. Asuncion; Chris Borrelli; Geoff Zhang; Hongtao Zhang; Ken Chang

A 56Gb/s PAM4 wireline transceiver testchip is implemented in 16nm FinFET. The CML transmitter incorporates an auxiliary current injection at the output nodes to maintain PAM4 amplitude linearity. The receiver consists of continuous-time linear equalizers with constant DC-gain and a 28GSa/s 32-way time-interleaved SAR ADC. The transceiver achieves 1e-8 BER over a backplane channel with 25dB loss at 14GHz while consuming 550mW power, excluding DSP.


international solid-state circuits conference | 2015

3.3 A 0.5-to-32.75Gb/s flexible-reach wireline transceiver in 20nm CMOS

Parag Upadhyaya; Jafar Savoj; Fu-Tai An; Ade Bekele; Anup Jose; Bruce Xu; Daniel Wu; Didem Furker; Hesam Aslanzadeh; Hiva Hedayati; Jay Im; Siok-Wei Lim; Stanley Chen; Toan Pham; Yohan Frans; Ken Chang

The introduction of high-speed backplane transceivers inside FPGAs has addressed critical issues such as the ease in scalability of performance, high availability, flexible architectures, the use of standards, and rapid time to market. These have been crucial to address the ever-increasing demand for bandwidth in communication and storage systems [1-3], requiring novel techniques in receiver (RX) and clocking circuits.


IEEE Journal of Solid-state Circuits | 2017

A 56-Gb/s PAM4 Wireline Transceiver Using a 32-Way Time-Interleaved SAR ADC in 16-nm FinFET

Yohan Frans; Jaewook Shin; Lei Zhou; Parag Upadhyaya; Jay Im; Vassili Kireev; Mohamed Elzeftawi; Hiva Hedayati; Toan Pham; Santiago G. Asuncion; Chris Borrelli; Geoff Zhang; Hongtao Zhang; Ken Chang

A 56-Gb/s PAM4 wireline transceiver testchip is implemented in 16-nm FinFET. The current mode logic transmitter incorporates an auxiliary current injection at the output nodes to maintain PAM4 amplitude linearity. The ADC-based receiver incorporates hybrid analog and digital equalizations. The analog equalization is performed using two identical stages of continuous time linear equalizer, each having a constant of ~0-dB dc-gain and a maximum peaking of ~7 dB peaking at 14 GHz. A 28-GSample/s 32-way time-interleaved SAR ADC converts the equalized analog signal into digital domain for further equalization using digital signal processing. The transceiver achieves <1e-8 bit error rate over a backplane channel with 31-dB loss at 14-GHz and 3.5-mVrms additional crosstalk, using a fixed ~10-dB TX equalization and an adaptive hybrid RX equalization, with the DSP configured to have a 24-tap feed forward equalizer and a 1-tap decision feedback equalizer. The transceiver consumes 550-mW power at 56 Gb/s, excluding the power of the on-chip configurable DSP that cannot be accurately measured as it is implemented as part of a larger test structure.


custom integrated circuits conference | 2014

Wideband flexible-reach techniques for a 0.5–16.3Gb/s fully-adaptive transceiver in 20nm CMOS

Jafar Savoj; Hesam Aslanzadeh; Declan Carey; Marc Erett; Wayne Fang; Yohan Frans; Kenny Hsieh; Jay Im; Anup Jose; Didem Turker; Parag Upadhyaya; Daniel Wu; Ken Chang

This paper describes the design techniques to achieve wideband flexible-reach operation in a fully-adaptive transceiver embedded in a 20nm CMOS FPGA. The receiver utilizes a bandwidth adjustable CTLE for programmable operation over both short-reach and long-reach channels. A modified 11-tap, 1bit speculative DFE topology provides reliable operation across all data rates. The LC PLL feedback divider uses a synchronized CMOS down-counter without a prescaler to achieve a continuous divide ratio of 16-257. The transceiver achieves BER <; 10-15 over a 28dB loss backplane at 16.3Gb/s and over legacy channels with 10G-KR characteristics at 10.3125Gb/s. The transceiver meets jitter tolerance specifications for both PCIe Gen3 at 8Gb/s and PCIe Gen4 at 16Gb/s in both common-clock and spread-spectrum modes.


symposium on vlsi circuits | 2016

A fully-adaptive wideband 0.5–32.75Gb/s FPGA transceiver in 16nm FinFET CMOS technology

Parag Upadhyaya; Ade Bekele; Didem Turkur Melek; Haibing Zhao; Jay Im; Junho Cho; Kee Hian Tan; Scott McLeod; Stanley Chen; Wenfeng Zhang; Yohan Frans; Ken Chang

This paper describes the design of a low power fully-adaptive wideband, flexible reach transceiver in 16nm FinFET CMOS embedded within FPGA. The receiver utilizes a 3-stage CTLE with a segmented AGC to minimize parasitic peaking and 15-tap DFE to operate over both short and long channels. The transmitter uses a swing boosted CML driver architecture. Low noise wideband fractional N LC PLLs combined with linear active inductor based phase interpolators and high speed clocking are utilized for low jitter clock generation. The transceiver achieves >1200mVdpp TX swing with <;190 fs RJ and 5.39 ps TJ to achieve BER <; 10-15 over a 30 dB loss backplane at 32.75 Gb/s, while consuming 577 mW.


IEEE Journal of Solid-state Circuits | 2016

A 40-to-64 Gb/s NRZ Transmitter With Supply-Regulated Front-End in 16 nm FinFET

Yohan Frans; Scott McLeod; Hiva Hedayati; Mohamed Elzeftawi; Jin Namkoong; Winson Lin; Jay Im; Parag Upadhyaya; Ken Chang

A 3-tap 64 Gb/s NRZ transmitter using a quad-rate architecture is designed in 16 nm FinFET. The design incorporates circuit techniques and topologies that take into account device properties specific to FinFET process. A 4:1 MUX consisting of static CMOS pulse generators and a tailless CML multiplexing stage is used at the final stage of serialization. An on-chip regulator provides power to the pulse generators and CMOS clock buffers. A phase error correction circuit corrects the phase errors of the four-phase clocks generated by an LC-PLL. The transmitter achieves 800 mV-ppd with 150 fs RJ while consuming 225 mW at 64 Gb/s.


international solid-state circuits conference | 2017

6.3 A 40-to-56Gb/s PAM-4 receiver with 10-tap direct decision-feedback equalization in 16nm FinFET

Jay Im; Dave Freitas; Arianne Roldan; Ronan Casey; Stanley Chen; Adam Chou; Tim Cronin; Kevin Geary; Scott McLeod; Lei Zhou; Ian Zhuang; Jaeduk Han; Sen Lin; Parag Upadhyaya; Geoff Zhang; Yohan Frans; Ken Chang

The increasing bandwidth demand in data centers and telecommunication infrastructures had prompted new electrical interface standards capable of operating up to 56Gb/s per-lane. The CEI-56G-VSR-PAM4 standard [1] defines PAM-4 signaling at 56Gb/s targeting chip-to-module interconnect. Figure 6.3.1 shows the measured S21 of a channel resembling such interconnects and the corresponding single-pulse response after TX-FIR and RX CTLE. Although the S21 is merely ∼10dB at 14GHz, the single-pulse response exhibits significant reflections from impedance discontinuities, mainly between package and PCB traces. These reflections are detrimental to PAM-4 signaling and cannot be equalized effectively by RX CTLE and/or a few taps of TX feed-forward equalization. This paper presents the design of a PAM-4 receiver using 10-tap direct decision-feedback equalization (DFE) targeting such VSR channels.


international solid-state circuits conference | 2016

3.7 A 40-to-64Gb/s NRZ transmitter with supply-regulated front-end in 16nm FinFET

Yohan Frans; Scott McLeod; Hiva Hedayati; Mohamed Elzeftawi; Jin Namkoong; Winson Lin; Jay Im; Parag Upadhyaya; Ken Chang

Due to increasing bandwidth demand in data centers and telecommunication infrastructures, the maximum data-rate of wireline transceivers is projected to double from 32Gb/s to 64Gb/s while keeping the same power envelope. This paper presents the design of a 64Gb/s NRZ transmitter for short-reach electrical links in a 16nm FinFET process. It is applicable to standards such as CEI-56-VSR/MR, using power efficient techniques that take into considerations FinFET device properties: low DIBL, negligible body effect, low junction capacitance, low channel leakage, high intrinsic gain, high gate capacitance and resistance, high flicker noise, and steep CV curve in accumulation region.


IEEE Journal of Solid-state Circuits | 2017

A 32.75-Gb/s Voltage-Mode Transmitter With Three-Tap FFE in 16-nm CMOS

Kok Lim Chan; Kee Hian Tan; Yohan Frans; Jay Im; Parag Upadhyaya; Siok Wei Lim; Arianne Roldan; Nakul Narang; Chin Yang Koay; Hongyuan Zhao; Ping-Chuan Chiang; Ken Chang

This paper describes a 32.75-Gb/s voltage-mode transmitter (TX) with three-tap feed forward equalization that is fabricated in a 16-nm FinFET CMOS technology. The TX uses a dual regulator architecture to allow independent control of output swing, output common-mode, and equalization. A hybrid impedance control scheme is presented where the total number of driver slices is used for coarse impedance control, and analog loop-based voltage control is used for fine impedance control of the TX. A finite-impulse response compensation circuit to compensate for the data dependent current due to equalization is also presented. The TX consumes 120.8 mW with 0.9- and 1.2-V supplies, provides 0.25–0.9 Vpp output swing, and achieves total jitter of 6.49 ps–pp and random jitter of 220 fs–rms at 32.75 Gb/s with bit error rate of 1e-12.

Collaboration


Dive into the Yohan Frans's collaboration.

Researchain Logo
Decentralizing Knowledge