Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yoshi Hishiro is active.

Publication


Featured researches published by Yoshi Hishiro.


Proceedings of SPIE | 2015

Novel EUV resist development for sub-14nm half pitch

Masafumi Hori; Takehiko Naruoka; Hisashi Nakagawa; Tomohisa Fujisawa; Takakazu Kimoto; Motohiro Shiratani; Tomoki Nagai; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Toru Kimura

Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.


Proceedings of SPIE | 2012

EUV resist development for 16nm half pitch

Ken Maruyama; Hiroki Nakagawa; Shalini Sharma; Yoshi Hishiro; Makoto Shimizu; Tooru Kimura

In order to resolve 16 nm half pitch and beyond upon EUV exposure, we have developed new materials for not only resists but also for under layer materials. As for resist, short acid diffusion length photo-acid generator (PAG) was developed for high resolution. As for under layer, new material with high contact angle (CA) improved line collapse margin towards printing of minimum feature size. It was found that CA of under layer was one of the important factors for resolution improvement. Furthermore, effect of development time was investigated to improve resolution. Short development time gained resolution improvement compared with long one. Finally, combination of these results was investigated. As a result, JSR EUV resist showed the potential of 15nm half pitch resolution.


Proceedings of SPIE | 2014

Novel EUV resist materials for 16nm half pitch and EUV resist defects

Motohiro Shiratani; Takehiko Naruoka; Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Andreia Santos; Xavier Buch; Tooru Kimura

Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high resist sensitivity EUV resist absorbance should be increased. Resin containing fluorine atom is one of the most attractive methods to improve absorbance level of EUV resist because the fluorine atom absorbs EUV light strongly. However, resist hydrophobicity (or high contact angle) also increase due to presence of fluorine atoms in the resist polymer. It is difficult to rinse high CA resist during development process so the resist containing polymer with fluorine atom may produce additional defects. In this paper, we will report the relationship between line edge roughness and acid diffusion length. We will also show the method to diminish defects caused by high contact angle (CA) resist. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.


Proceedings of SPIE | 2013

Novel EUV resist materials and process for 20 nm half pitch and beyond

Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Koji Inukai; Motohiro Shiratani; Tooru Kimura

New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.


Proceedings of SPIE | 2012

Key parameters of EUV resists for contact hole applications

Kyoungyong Cho; Hiroki Nakagawa; Ken Maruyama; Makoto Shimizu; Tooru Kimura; Yoshi Hishiro

Patterning contact hole (CH) features with good critical dimension uniformity (CDU) is one of the most critical challenges for 10nm node lithography and beyond. Extreme ultraviolet lithography (EUVL) is considered a potential candidate because of its better aerial imaging and larger k1 factor than ArF immersion. To apply EUV lithography to high volume manufacturing, EUV resists must overcome both the trade-off among resolution (R), local CD uniformity (LCDU), and sensitivity (S) at CH features and the RLS trade-off at line/space (LS) features. We evaluated various resist materials for CH patterning applications using the microexposure tools (METs) at SEMATECH in Albany, NY, and at Lawrence Berkeley National Laboratory. In this study, we report the correlation between the lithographic performance of EUV resist at CH features and physical properties of chemically amplified resists (CARs) such as their dissolution behavior, the activation energy level of the protective group, and the acidity/acid diffusion length of the photoacid generator (PAG).


Proceedings of SPIE | 2012

Investigation of pattern wiggling for spin-on organic hardmask materials

Goji Wakamatsu; Kentaro Goto; Yoshi Hishiro; Taiichi Furukawa; Satoru Murakami; Masayuki Motonari; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Greg Breyta; Anuja DeSilva; Noel Arellano; Luisa D. Bozano; Carl E. Larson; Martin Glodde; Ratnam Sooriyakumaran

Semiconductor manufacturing technology is currently undergoing a transformation from immersion photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will require improved pattern transfer techniques and materials. Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to CVD processing. In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability of spin-on approaches. Material properties and wiggle failure test results will be discussed.


Proceedings of SPIE | 2014

Spin-on organic hardmask for topo-patterned substrate

Kazuhiko Komura; Yoshi Hishiro; Goji Wakamatsu; Yoshio Takimoto; Tomoki Nagai; Tooru Kimura; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Greg Breyta; Noel Arellano; Srinivasan Balakrishnan; Luisa D. Bozano; Ananthakrishnan Sankaranarayanan; Krishna M. Bajjuri; Daniel P. Sanders; Carl E. Larson; Anuja DeSilva; Martin Glodde

Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.


Proceedings of SPIE | 2013

Polymer blends for directed self-assembly

Yuuji Namie; Yusuke Anno; Takehiko Naruoka; Shinya Minegishi; Tomoki Nagai; Yoshi Hishiro; Yoshikazu Yamaguchi

The advantage of blend DSA (Directed Self Assembly) is milder anneal condition than PS-b-PMMA BCP DSA materials and availability of conventional instruments. In this paper, blend type DSA was applied for hole patterning. Target patterns were contact hole and oval hole. Polymer phase separation behavior has been studied from the point of χN. In the case of polymer blend, χN needs to be more than 2 to give phase separation. At first the effect of polymer size was studied. When the polymer weight was low, the shrunk hole was not clean because of low χN. Furthermore, the correlation of shrink amount and χN was studied. Higher χN polymer blend system gave higher shrink amount. High χN polymer systems give clear interface, then the intermixing area would be reduced, then the attached polymer blend part became larger. The polymer blend ratio effect was also investigated. The blend ratio was varied for polymer A/ polymer B=70/30-50/50. The shrink amount of oval hole was reduced with increasing the ratio of polymer B. However, the shrink amount ratio of CDY/CDX was almost constant (~3).


Proceedings of SPIE | 2009

Incorporating organosilanes into EUV photoresists: diphenyltrimethylsilylmethylsulfonium triflate as a new PAG

Shalini Sharma; Yoichi Ogata; Clarion Tung; James M. Blackwell; Todd R. Younkin; Yoshi Hishiro; Joshua S. Figueroa; Arnold L. Rheingold

The synthesis and characterization data for a new sulfonium photoacid generator (PAG), diphenyltrimethylsilylmethylsulfonium triflate (I), is reported. It is shown that the molecule undergoes rapid silyl group transfer to water or phenol in the presence of a strong, nucleophilic base such as trioctylamine (TOA). The resulting PAG, diphenyl-methylsulfonium triflate (II), is subsequently degraded by TOA via methyl group transfer from S to N leading to the formation of Ph2S and methyltriocylammonium triflate. Both I and II are stable when non-nucleophilic base quenchers are used. Dose-to-clear and patterning results obtained from EUV exposures at Intel-MET are presented, illustrating that increased sensitivity can be obtained with PAGs I and II relative to triphenylsulfonium triflate (TPSOTf), but that LWR is compromised.


Proceedings of SPIE | 2007

Thin bilayer resists for 193-nm and future photolithography II

Yoshi Hishiro; Michael Hyatt

Bilayer, Si-containing resists are a technique of interest and a strong candidate to replace chemical vapor deposition (CVD) hardmask processes for small critical dimensions (CDs). Previously, we proposed a very thin film approach using bilayer resists for future lithography, defined the requirements for the resists, and demonstrated 55nm transferred patterns with high aspect ratios using 2-beam interferometer exposure. In this paper, we have demonstrated smaller-than- 60nm transferred patterns with a high numerical aperture (NA) scanner, as well as 45nm and 40nm transferred patterns with a 2-beam system using a 20% Si-containing thin bilayer resist. Immersion scanner exposure and a 35nm CD with 2- beam system were also studied.

Collaboration


Dive into the Yoshi Hishiro's collaboration.

Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Shalini Sharma

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge