Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ramakrishnan Ayothi is active.

Publication


Featured researches published by Ramakrishnan Ayothi.


Journal of Materials Chemistry | 2006

Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography

Seung Wook Chang; Ramakrishnan Ayothi; Daniel Bratton; Da Yang; Nelson M. Felix; Heidi B. Cao; Hai Deng; Christopher K. Ober

Extreme ultra violet (EUV) lithography is one of the most promising next generation lithographic techniques for the production of sub-50 nm feature sizes with applications in the semiconductor industry. Coupling this technique with molecular glass resists is an effective strategy for high resolution lithographic patterning. In this study, a series of tert-butyloxycarbonyl (t-Boc) protected C-4-hydroxyphenyl-calix[4]resorcinarenes derivatives were synthesized and evaluated as positive tone molecular glass resists for EUV lithography. The amorphous nature of these molecules was confirmed using thermal analysis, FTIR and powder X-ray diffraction. Feature sizes as small as 30 nm with low line edge roughness (4.5 nm, 3σ) were obtained after patterning and development.


Journal of Materials Chemistry | 2009

Non-ionic photo-acid generators for applications in two-photon lithography

Lorenz Steidl; Shalin J. Jhaveri; Ramakrishnan Ayothi; Jing Sha; Jesse D. McMullen; Sin Yee Cindy Ng; Warren R. Zipfel; Rudolf Zentel; Christopher K. Ober

Non-ionic photoacid generators (PAGs) have been designed and synthesized for use in two-photon lithography (TPL). The chromophores in these new PAGs are covalently linked to the photocleavable group by a flexible joint. Their thermal stability, solubility and efficiency to produce acid under both one- and two-photon excitation were characterized. The potential of these PAGs for TPL was tested in two negative-tone resist systems relying on different mechanisms: free-radical/cationic polymerization or a cationically initiated cross-linking reaction. These PAGs needed lower threshold power for polymerization compared to a commercially available photoinitiator, isopropylthioxanthone, and a photoacid generator, N-hydroxynaphthalimide triflate. Microstructures with a resolution of 0.6 µm were fabricated and the threshold power for polymerization was found to be below 2 mW.


Proceedings of SPIE | 2006

Molecular glass resists for next-generation lithography

Daniel Bratton; Ramakrishnan Ayothi; Nelson M. Felix; Heidi Cao; Hai Deng; Christopher K. Ober

In order to meet the growing demand of the electronics industry for smaller, higher resolution features much recent attention has focused on next generation lithographic techniques, such as Extreme Ultraviolet (EUV) or e-beam lithography. Complementary to this field of research is the design of the next generation of photoresists to produce sub 50 nm feature sizes. Chemically amplified molecular glass resists are among the most promising alternatives to traditional polymeric materials. These materials are monodisperse, amorphous organic molecules which lead to high resolution patterns with low line edge roughness owing to their small size and lack of chain entanglement. In this submission, we describe our work in the development of molecular glass resists. The materials are designed with rigid cores, to ensure high Tg, and with bulky side groups to inhibit crystallization. We show that these materials are capable of producing high resolution feature sizes and show great promise in meeting the demands of emerging next-generation lithographic techniques.


Proceedings of SPIE | 2015

Novel EUV resist development for sub-14nm half pitch

Masafumi Hori; Takehiko Naruoka; Hisashi Nakagawa; Tomohisa Fujisawa; Takakazu Kimoto; Motohiro Shiratani; Tomoki Nagai; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Toru Kimura

Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.


Proceedings of SPIE | 2014

Novel EUV resist materials for 16nm half pitch and EUV resist defects

Motohiro Shiratani; Takehiko Naruoka; Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Andreia Santos; Xavier Buch; Tooru Kimura

Extreme ultraviolet (EUV) lithography is a candidate for the manufacturing of semiconductor devices at the 22 nm half pitch node and below. EUV lithography requires high performance resist with limited outgassing property. The key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S) for lines and spaces (LS) features. To achieve high resist sensitivity EUV resist absorbance should be increased. Resin containing fluorine atom is one of the most attractive methods to improve absorbance level of EUV resist because the fluorine atom absorbs EUV light strongly. However, resist hydrophobicity (or high contact angle) also increase due to presence of fluorine atoms in the resist polymer. It is difficult to rinse high CA resist during development process so the resist containing polymer with fluorine atom may produce additional defects. In this paper, we will report the relationship between line edge roughness and acid diffusion length. We will also show the method to diminish defects caused by high contact angle (CA) resist. We achieved good resolution and LER improvement by controlling acid diffusion length. Moreover, we found the relationship of the number of defects and the structure of the monomers containing fluorine units.


Proceedings of SPIE | 2013

Novel EUV resist materials and process for 20 nm half pitch and beyond

Ken Maruyama; Ramakrishnan Ayothi; Yoshi Hishiro; Koji Inukai; Motohiro Shiratani; Tooru Kimura

New resist, under layer, and topcoat materials specific to EUVL was developed and investigated for sub 20 nm hp patterning performance. High Tg resin and high absorption resin were developed and incorporated in to EUV resist. EUV resist including high Tg resin showed good LWR and local CD uniformity (LCDU). EUV resist containing high absorption resin showed higher resist sensitivity. New silicon type under-layer materials with different hydrophobicity were developed for further patterning performance improvement. Silicon type under-layer material with higher hydrophobic surface property improved line collapse margin which in turn improved resist resolution. EUV top-coat material was developed and examined for EUV resist sensitivity to out of band (OOB) radiation. EUV top-coat suppressed OOB influence and improved lithographic performance. EUV resist containing new materials resolved 15 nm half pitch lines and spaces and 20 nm contact hole patterns.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

All-organic Non-PFOS nonionic photoacid generating compounds with functionalized fluoroorganic sulfonate motif for chemically amplified resists

Ramakrishnan Ayothi; Yi Yi; Christopher K. Ober; Steve Putna; Wang Yueh; Heidi Cao

Nonionic photoacid generators (PAGs) based on photosensitive fluoroorganic sulfonate esters of imide and nitrobenzyl have been prepared and characterized. These new compounds produce fluoroorganic sulfonic acids that contain very few fluorine atoms (non-PFOS), which make them attractive PAGs for all advanced and emerging lithography. The structural influence of these new PAGs on sensitivity, resolution and line edge roughness (LER) was investigated by using DUV (254 nm) and e-beam lithography with ESCAP and ACRYLIC type positive tone resists. E-beam lithography evaluation indicates that these new fluroorganic sulfonic acids are sensitive and capable of providing image profiles down to 80 nm. The variation observed in sensitivity and LER at e-beam lithography was analyzed in terms of the structures of the photogenerated acids, chromophores and resists.


Proceedings of SPIE | 2015

First results of outgas resist family test and correlation between outgas specifications and EUV resist development

Yu-Jen Fan; Ken Maruyama; Ramakrishnan Ayothi; Takehiko Naruoka; Tonmoy Chakraborty; Dominic Ashworth; Jun Sung Chun; Cecilia Montgomery; Shih-Hui Jen; Mark Neisser; Kevin Cummings

In this paper, we present the first results of witness sample based outgas resist family test to improve the efficiency of outgas testing using EUV resists that have shown proven imaging performance. The concept of resist family testing is to characterize the boundary conditions of outgassing scale from three major components for each resist family. This achievement can significantly reduce the cost and improve the resist outgas learning cycle. We also report the imaging performance and outgas test results of state of the art resists and discuss the consequence of the resist development with recent change of resist outgassing specifications. Three chemically amplified resists selected from higher outgassing materials are investigated, but no significant improvement in resist performance is observed.


Advances in Patterning Materials and Processes XXXV | 2018

Polymer brush as adhesion promoter for EUV patterning

Jing Guo; Anuja De Silva; Yann Mignot; Yongan Xu; Abraham A. de la Peña; Luciana Meli; Indira Seshadri; Dominik Metzler; Lovejeet Singh; Tsuyoshi Furukawa; Ramakrishnan Ayothi; Nelson Felix; Dan Corliss

Current EUV lithography pushes photoresist thickness reduction to sub-30 nm in order to meet resolution targets and mitigate pattern collapse. In order to maintain the etch budgets in hard mask open, the adhesion layer in between resist and hard mask has to scale accordingly. We have reported a grafted polymer brush adhesion layer used in an ultrathin EUV patterning stack and demonstrated sub-36 nm pitch features with significant improvement over existing adhesion promotion techniques [1]. This paper provides further understanding of this class of materials from a fundamental point of view. We first propose a hypothesis of the adhesion mechanism, and probe key factors that could affect adhesion performance. The grafting kinetics study of polymer brush that contains different functional groups to the substrate shows grafting chemistry, time, and temperature are key factors that affect the printing performance. We then conduct a systematic study to understand printing capability at various pitches for different silicon-based substrates. By comparing the process window, we gain comprehensive understanding of the printing limits and failing modes with this approach. We provide a comparative study of a grafted adhesion layer vs. a conventional spin on BARC type material, including defectivity. Pattern transfer to hard mask with varied etch chemistry is conducted to understand the performance of polymer brush during etch.


Proceedings of SPIE | 2017

Novel EUV photoresist for sub-7nm node (Conference Presentation)

Tsuyoshi Furukawa; Takehiko Naruoka; Hisashi Nakagawa; Hiromu Miyata; Motohiro Shiratani; Masafumi Hori; Satoshi Dei; Ramakrishnan Ayothi; Yoshi Hishiro; Tomoki Nagai

Extreme ultraviolet (EUV) lithography has been recognized as a promising candidate for the manufacturing of semiconductor devices as LS and CH pattern for 7nm node and beyond. EUV lithography is ready for high volume manufacturing stage. For the high volume manufacturing of semiconductor devices, significant improvement of sensitivity and line edge roughness (LWR) and Local CD Uniformity (LCDU) is required for EUV resist. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). Especially high sensitivity and good roughness is important for EUV lithography high volume manufacturing. We are trying to improve sensitivity and LWR/LCDU from many directions. From material side, we found that both sensitivity and LWR/LCDU are simultaneously improved by controlling acid diffusion length and efficiency of acid generation using novel resin and PAG. And optimizing EUV integration is one of the good solution to improve sensitivity and LWR/LCDU. We are challenging to develop new multi-layer materials to improve sensitivity and LWR/LCDU. Our new multi-layer materials are designed for best performance in EUV lithography system. From process side, we found that sensitivity was substantially improved maintaining LWR applying novel type of chemical amplified resist (CAR) and process. EUV lithography evaluation results obtained for new CAR EUV interference lithography. And also metal containing resist is one possibility to break through sensitivity and LWR trade off. In this paper, we will report the recent progress of sensitivity and LWR/LCDU improvement of JSR novel EUV resist and process.

Collaboration


Dive into the Ramakrishnan Ayothi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yi Yi

Cornell University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tomoki Nagai

University of Texas at Austin

View shared research outputs
Researchain Logo
Decentralizing Knowledge