Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tomoki Nagai is active.

Publication


Featured researches published by Tomoki Nagai.


Proceedings of SPIE | 2015

Novel EUV resist development for sub-14nm half pitch

Masafumi Hori; Takehiko Naruoka; Hisashi Nakagawa; Tomohisa Fujisawa; Takakazu Kimoto; Motohiro Shiratani; Tomoki Nagai; Ramakrishnan Ayothi; Yoshi Hishiro; Kenji Hoshiko; Toru Kimura

Extreme ultraviolet (EUV) lithography has emerged as a promising candidate for the manufacturing of semiconductor devices at the sub-14nm half pitch lines and spaces (LS) pattern for 7 nm node and beyond. The success of EUV lithography for the high volume manufacturing of semiconductor devices depends on the availability of suitable resist with high resolution and sensitivity. It is well-known that the key challenge for EUV resist is the simultaneous requirement of ultrahigh resolution (R), low line edge roughness (L) and high sensitivity (S). In this paper, we investigated and developed new chemically amplified resist (CAR) materials to achieve sub-14 nm hp resolution. We found that both resolution and sensitivity were improved simultaneously by controlling acid diffusion length and efficiency of acid generation using novel PAG and sensitizer. EUV lithography evaluation results obtained for new CAR on Micro Exposure Tool (MET) and NXE3300 system are described and the fundamentals are discussed.


Proceedings of SPIE | 2007

Various factors of the image blur in chemically amplified resist

Takanori Kawakami; Tomoki Nagai; Yukio Nishimura; Motoyuki Shima; Shiro Kusumoto; Tsutomu Shimokawa

In the current optical lithography, the resolution is being pushed for 45 nm half-pitch, and the chemically amplified resist will be used for wide variety of applications including immersion lithography. So far the chemical amplification has brought high performance for lithography. In the future, for the ArF lithography beyond 45nm half-pith, it will be important to control pattern size. On the other hand, chemically amplified resist which utilized acid catalyzed deprotecting reaction is sensitive to physical and chemical factor. Thus, there are various factors in the each process (Resist coating, Pre bake, Exposure, Post exposure bake, Development and Rinse) to cause the resist blur. For example, its acid diffusion on PEB. The influence of these factors for the resist blur is a significant issue for lithography beyond 45 nm half-pitch. Therefore the need to reduce these factors on the resist blur becomes higher in order to extend the ArF lithography beyond 45 nm half-pith. In this paper, acid diffusion coefficient (D) and resist blur with changing anion size of PAG, size of protecting group in typical ArF resist was reported. The relationship between acid diffusion coefficient and resist blur was discussed on the basis of their difference in structure and characteristics.


Proceedings of SPIE | 2010

Photobase generator assisted pitch division

Xinyu Gu; Christopher M. Bates; Younjin Cho; Takanori Kawakami; Tomoki Nagai; Toshiyuki Ogata; Arunkumar Sundaresan; Nicholas J. Turro; Robert Bristol; Paul Zimmerman; C. Grant Willson

The drive to sustain the improvements in productivity that derive from following Moores law has led the semiconductor industry to explore new technologies that enable production of smaller and smaller features on semiconductor device. Pitch division techniques and double exposure lithography are approaches that print features beyond the fundamental resolution limit of state-of-art lenses by modifying the lithographic process. This paper presents a new technique that enables pitch division in the printing of gratings using only a single exposure that is fully compatible with the current manufacturing tools. This technique employs a classical photoresist polymer together with a photoactive system that incorporates both a photoacid generator (PAG) and a photobase generator (PBG). The PBG is added to the resist formulation in higher molar concentration than the PAG, but has a base production rate that is slower than the acid production rate of the PAG. The PBG functions as a dose-dependent base quencher, which neutralizes the acid in high dose exposure regions but not in the low dose regions. This photoactive system can be exploited in the design of both positive tone and negative tone resist formulations that provide a developed image of a grating that is twice the frequency of the grating on the mask. A simulation of this process was performed for a 52 nm line and space pattern using PROLITH and customized codes. The results showed generation of a 26 nm half pitch relief image after development. Through this new technique, a 45 nm half pitch line and space pattern was experimentally achieved with a mask that produces a 90 nm half pitch aerial image. This corresponds to a k1 factor of 0.13. The principles, the materials design and the first lithographic evaluations of this system are reported.


Journal of Micro-nanolithography Mems and Moems | 2016

Sensitivity enhancement of chemically amplified resists and performance study using extreme ultraviolet interference lithography

Elizabeth Buitrago; Seiji Nagahara; Oktay Yildirim; Hisashi Nakagawa; Seiichi Tagawa; Marieke Meeuwissen; Tomoki Nagai; Takehiko Naruoka; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci

Abstract. Extreme ultraviolet lithography (EUVL, λ=13.5  nm) is the most promising candidate to manufacture electronic devices for future technology nodes in the semiconductor industry. Nonetheless, EUVL still faces many technological challenges as it moves toward high-volume manufacturing (HVM). A key bottleneck from the tool design and performance point of view has been the development of an efficient, high-power EUV light source for high throughput production. Consequently, there has been extensive research on different methodologies to enhance EUV resist sensitivity. Resist performance is measured in terms of its ultimate printing resolution, line width roughness (LWR), sensitivity [S or best energy (BE)], and exposure latitude (EL). However, there are well-known fundamental trade-off relationships (line width roughness, resolution and sensitivity trade-off) among these parameters for chemically amplified resists (CARs). We present early proof-of-principle results for a multiexposure lithography process that has the potential for high sensitivity enhancement without compromising other important performance characteristics by the use of a “Photosensitized Chemically Amplified Resist™” (PSCAR™). With this method, we seek to increase the sensitivity by combining a first EUV pattern exposure with a second UV-flood exposure (λ=365  nm) and the use of a PSCAR. In addition, we have evaluated over 50 different state-of-the-art EUV CARs. Among these, we have identified several promising candidates that simultaneously meet sensitivity, LWR, and EL high-performance requirements with the aim of resolving line space (L/S) features for the 7- and 5-nm logic node [16- and 13-nm half-pitch (HP), respectively] for HVM. Several CARs were additionally found to be well resolved down to 12- and 11-nm HP with minimal pattern collapse and bridging, a remarkable feat for CARs. Finally, the performance of two negative tone state-of-the-art alternative resist platforms previously investigated was compared to the CAR performance at and below 16-nm HP resolution, demonstrating the need for alternative resist solutions at 13-nm resolution and below. EUV interference lithography (IL) has provided and continues to provide a simple yet powerful platform for academic and industrial research, enabling the characterization and development of resist materials before commercial EUV exposure tools become available. Our experiments have been performed at the EUV-IL set-up in the Swiss Light Source (SLS) synchrotron facility located at the Paul Scherrer Institute (PSI).


Proceedings of SPIE | 2014

Spin-on organic hardmask for topo-patterned substrate

Kazuhiko Komura; Yoshi Hishiro; Goji Wakamatsu; Yoshio Takimoto; Tomoki Nagai; Tooru Kimura; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Greg Breyta; Noel Arellano; Srinivasan Balakrishnan; Luisa D. Bozano; Ananthakrishnan Sankaranarayanan; Krishna M. Bajjuri; Daniel P. Sanders; Carl E. Larson; Anuja DeSilva; Martin Glodde

Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.


Proceedings of SPIE | 2013

Polymer blends for directed self-assembly

Yuuji Namie; Yusuke Anno; Takehiko Naruoka; Shinya Minegishi; Tomoki Nagai; Yoshi Hishiro; Yoshikazu Yamaguchi

The advantage of blend DSA (Directed Self Assembly) is milder anneal condition than PS-b-PMMA BCP DSA materials and availability of conventional instruments. In this paper, blend type DSA was applied for hole patterning. Target patterns were contact hole and oval hole. Polymer phase separation behavior has been studied from the point of χN. In the case of polymer blend, χN needs to be more than 2 to give phase separation. At first the effect of polymer size was studied. When the polymer weight was low, the shrunk hole was not clean because of low χN. Furthermore, the correlation of shrink amount and χN was studied. Higher χN polymer blend system gave higher shrink amount. High χN polymer systems give clear interface, then the intermixing area would be reduced, then the attached polymer blend part became larger. The polymer blend ratio effect was also investigated. The blend ratio was varied for polymer A/ polymer B=70/30-50/50. The shrink amount of oval hole was reduced with increasing the ratio of polymer B. However, the shrink amount ratio of CDY/CDX was almost constant (~3).


Proceedings of SPIE | 2016

Challenge toward breakage of RLS trade-off for EUV lithography by Photosensitized Chemically Amplified Resist (PSCAR) with flood exposure

Seiji Nagahara; Michael A. Carcasi; Hisashi Nakagawa; Elizabeth Buitrago; Oktay Yildirim; Gosuke Shiraishi; Yuichi Terashita; Yukie Minekawa; Kosuke Yoshihara; Masaru Tomono; Hironori Mizoguchi; Joel Estrella; Tomoki Nagai; Takehiko Naruoka; Satoshi Dei; Masafumi Hori; Akihiro Oshima; Michaela Vockenhuber; Yasin Ekinci; Marieke Meeuwissen; Coen Verspaget; Rik Hoefnagels; Gijsbert Rispens; R. Maas; Hideo Nakashima; Seiichi Tagawa

This paper proposes a promising approach to break the resolution (R), line-edge-roughness (LER), and sensitivity (S) trade-off (RLS trade-off) relationships that limit the ultimate lithographic performance of standard chemically amplified resists (CAR). This is accomplished in a process that uses a Photosensitized Chemically Amplified Resist (PSCAR) in combination with a flood-exposure in an in-line track connected to a pattern exposure tool. PSCAR is a modified CAR which contains a photosensitizer precursor (PP) in addition to other standard CAR components such as a protected polymer, a photo acid generator (PAG) and a quencher. In this paper, the PSCAR concept and the required conditions in resist formulation are carefully explained. In the PSCAR process, the sensitivity improvement is accomplished by PAG decomposition to selectively generate more acid at the pattern exposed areas during the flood exposure. The selective photosensitization happens through the excitation of the photosensitizer (PS) generated by the deprotection of the PP at the pattern exposed areas. A higher resist chemical gradient which leads to an improved resolution and lower LER values is also predicted using the PSCAR simulator. In the PSCAR process, the improved chemical gradient can be realized by dual acid quenching steps with the help of increased quencher concentration. Acid quenching first happens simultaneously with acid catalytic PP to PS reactions. As a result, a sharpened PS latent image is created in the PSCAR. This image is subsequently excited by the flood exposure creating additional acid products at the pattern exposed areas only. Much the same as in the standard CAR system, unnecessary acid present in the non-pattern exposed areas can be neutralized by the remaining quencher to therefore produce sharper acid latent images. EUV exposure results down to 15 nm half pitch (HP) line/space (L/S) patterns using a PSCAR resist indicate that the use of PSCAR has the potential to improve the sensitivity of the system while simultaneously improving the line-width-roughness (LWR) with added quencher and flood exposure doses. In addition, improved across-wafer critical dimension uniformity (CDU) is realized by the use of a PSCAR in combination with a flood exposure using pre α UV exposure module.


Proceedings of SPIE | 2016

Novel high sensitivity EUV photoresist for sub-7nm node

Tomoki Nagai; Hisashi Nakagawa; Takehiko Naruoka; Seiichi Tagawa; Akihiro Oshima; Seiji Nagahara; Gosuke Shiraishi; Kosuke Yoshihara; Yuichi Terashita; Yukie Minekawa; Elizabeth Buitrago; Yasin Ekinci; Oktay Yildirim; Marieke Meeuwissen; Rik Hoefnagels; Gijsbert Rispens; Coen Verspaget; R. Maas

Extreme ultraviolet lithography (EUVL) has been recognized as the most promising candidate for the manufacture of semiconductor devices for the 7 nm node and beyond. A key point in the successful introduction of EUV lithography in high volume manufacture (HVM) is the effective EUV dose utilization while simultaneously realizing ultra-high resolution and low line edge roughness (LER). Here we show EUV resist sensitivity improvement with the use of a photosensitized chemically amplified resist PSCARTM system. The evaluation of this new chemically amplified resist (CAR) as performed using EUV interference lithography (EUV-IL) is described and the fundamentals are discussed.


Proceedings of SPIE | 2017

Photosensitized Chemically Amplified Resist (PSCAR) 2.0 for high-throughput and high-resolution EUV lithography: dual photosensitization of acid generation and quencher decomposition by flood exposure

Seiji Nagahara; Michael A. Carcasi; Gosuke Shiraishi; Hisashi Nakagawa; Satoshi Dei; Takahiro Shiozawa; Kathleen Nafus; Danilo De Simone; Geert Vandenberghe; Hans-Jürgen Stock; Bernd Küchler; Masafumi Hori; Takehiko Naruoka; Tomoki Nagai; Yukie Minekawa; Tomohiro Iseki; Yoshihiro Kondo; Kosuke Yoshihara; Yuya Kamei; Masaru Tomono; Ryo Shimada; S. Biesemans; Hideo Nakashima; Philippe Foubert; Elizabeth Buitrago; Michaela Vockenhuber; Yasin Ekinci; Akihiro Oshima; Seiichi Tagawa

A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.


Proceedings of SPIE | 2009

Fundamental study of optical threshold layer approach towards double exposure lithography

Xinyu Gu; Adam J. Berro; Younjin Cho; Kane Jen; Saul Lee; Tomoki Nagai; Toshiyuki Ogata; William J. Durand; Arunkumar Sundaresan; Jeffrey R. Lancaster; Steffen Jockusch; Paul Zimmerman; Nicholas J. Turro; C. Grant Willson

193 immersion lithography has reached its maximal achievable resolution. There are mainly two lithographic strategies that will enable continued increase in resolution. Those are being pursued in parallel. The first is extreme ultraviolet (EUV) lithography and the second is double patterning (exposure) lithography. EUV lithography is counted on to be available in 2013 time frame for 22 nm node. Unfortunately, this technology has suffered several delays due to fundamental problems with source power, mask infrastructure, metrology and overall reliability. The implementation of EUV lithography in the next five years is unlikely due to economic factors. Double patterning lithography (DPL) is a technology that has been implemented by the industry and has already shown the proof of concept for the 22nm node. This technique while expensive is the only current path forward for scaling with no fundamental showstoppers for the 32nm and 22nm nodes. Double exposure lithography (DEL) is being proposed as a cost mitigating approach to advanced lithography. Compared to DPL, DEL offers advantages in overlay and process time, thus reducing the cost-of-ownership (CoO). However, DEL requires new materials that have a non-linear photoresponse. So far, several approaches were proposed for double exposure lithography, from which Optical Threshold Layer (OTL) was found to give the best lithography performance according to the results of the simulation. This paper details the principle of the OTL approach. A photochromic polymer was designed and synthesized. The feasibility of the material for application of DEL was explored by a series of evaluations.

Collaboration


Dive into the Tomoki Nagai's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tsutomu Shimokawa

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Atsushi Nakamura

Nara Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge