Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yow-Gwo Wang is active.

Publication


Featured researches published by Yow-Gwo Wang.


Proceedings of SPIE | 2015

Enhancing defect detection with Zernike phase contrast in EUV multilayer blank inspection

Yow-Gwo Wang; Ryan Miyakawa; Weilun Chao; Markus P. Benk; Antoine Wojdyla; Alex Donoghue; David G. Johnson; Kenneth A. Goldberg; Andrew R. Neureuther; Ted Liang; Patrick P. Naulleau

In this paper, we present an experimental verification of Zernike phase contrast enhanced EUV multilayer (ML) blank defect detection using the SHARP EUV microscope. A programmed defect as small as 0.35 nm in height is detected at focus with signal to noise ratio (SNR) up to 8. Also, a direct comparison of the through-focus image behavior between bright field and Zernike phase contrast for ML defects ranging from 40 nm to 75 nm in width on the substrate is presented. Results show the advantages of using the Zernike phase contrast method even for defects with both phase and absorption components including a native defect. The impact of pupil apodization combined with Zernike phase contrast is also demonstrated, showing improved SNR is due to the stronger reduction of roughness dependent noise than defect signal, confirming our previous simulation results. Finally we directly compare Zernike phase contrast, dark field and bright field microscopes.


Optical Engineering | 2015

Phase measurements of EUV mask defects

Rene A. Claus; Yow-Gwo Wang; Antoine Wojdyla; Markus P. Benk; Kenneth A. Goldberg; Andrew R. Neureuther; Patrick P. Naulleau; Laura Waller

Extreme Ultraviolet (EUV) Lithography mask defects were examined on the actinic mask imaging system, SHARP, at Lawrence Berkeley National Laboratory. A quantitative phase retrieval algorithm based on the Weak Object Transfer Function was applied to the measured through-focus aerial images to examine the amplitude and phase of the defects. The accuracy of the algorithm was demonstrated by comparing the results of measurements using a phase contrast zone plate and a standard zone plate. Using partially coherent illumination to measure frequencies that would otherwise fall outside the numerical aperture (NA), it was shown that some defects are smaller than the conventional resolution of the microscope. Programmed defects of various sizes were measured and shown to have both an amplitude and a phase component that the algorithm is able to recover.


Photomask Technology 2014 | 2014

Phase-enhanced defect sensitivity for EUV mask inspection

Yow-Gwo Wang; Ryan Miyakawa; Weilun Chao; Kenneth A. Goldberg; Andrew R. Neureuther; Patrick P. Naulleau

In this paper, we present a complete study on mask blank and patterned mask inspection utilizing the Zernike phase contrast method. The Zernike phase contrast method provides in-focus inspection ability to study phase defects with enhanced defect sensitivity. However, the 90 degree phase shift in the pupil will significantly reduce the amplitude defect signal at focus. In order to detect both types of defects with a single scan, an optimized phase shift instead of 90 degree on the pupil plane is proposed to achieve an acceptable trade-off on their signal strengths. We can get a 70% of its maximum signal strength at focus for both amplitude and phase defects with a 47 degree phase shift. For SNR, the tradeoff between speckle noise and signal strength has to be considered. The SNR of phase and amplitude defects at focus can both reach 11 with 13 degree phase shift and 50% apodization. Moreover, the simulation results on patterned mask inspection of partially hidden phase defects with die-to-database inspection approach on the blank inspection tool show that the improvement of the Zernike phase method is more limited. A 40% enhancement of peak signal strength can be achieved with the Zernike phase contrast method when the defect is centered in the space, while the enhancement drops to less than 10% when it is beneath the line.


Journal of Micro-nanolithography Mems and Moems | 2015

Broader view on extreme ultraviolet masks: adding complementary imaging modes to the SHARP microscope

Markus P. Benk; Ryan Miyakawa; W. Chao; Yow-Gwo Wang; Antoine Wojdyla; David G. Johnson; Alexander P. Donoghue; Kenneth A. Goldberg

Abstract. The authors are expanding the capabilities of the SHARP microscope by implementing complementary imaging modes. SHARP (the SEMATECH High-NA Actinic Reticle Review Project) is an actinic, synchrotron-based microscope dedicated to extreme ultraviolet photomask research. SHARP’s programmable Fourier synthesis illuminator and its use of Fresnel zoneplate lenses as imaging optics provide a versatile framework, facilitating the implementation of diverse modes beyond conventional imaging. In addition to SHARP’s set of standard zoneplates, we have created more than 100 zoneplates for complementary imaging modes, all designed to extract additional information from photomasks, to improve navigation, and to enhance defect detection. More than 50 new zoneplates are installed in the tool; the remaining lenses are currently in production. We discuss the design and fabrication of zoneplates for complementary imaging modes and present image data, obtained using Zernike phase contrast and different implementations of differential interference contrast (DIC). First results show that Zernike phase contrast can significantly increase the signal from phase defects in SHARP image data, thus improving the sensitivity of the microscope. DIC is effective on a variety of features, including phase defects and intensity speckle from substrate and multilayer roughness. The additional imaging modes are now available to users of the SHARP microscope.


Journal of Micro-nanolithography Mems and Moems | 2017

Impact of noise sources and optical design on defect detection sensitivity in extreme ultraviolet actinic pattern inspection tool

Yow-Gwo Wang; Andrew R. Neureuther; Patrick P. Naulleau

Abstract. We discuss the impact of various noise sources and the optical design in bright field extreme ultraviolet (EUV) actinic inspection of mask features for defects in the patterned absorber. It is shown that an optimum pixel size is needed to maximize the defect signal-to-noise ratio (SNR) to balance the trade-off in increasing signal strength with shot noise from defect signal and the background pattern intensity (mask layout image) and speckle noise from the mask blank roughness. Moreover, we consider defocus showing that the EUV mask phase effect has an asymmetric impact on pattern defect SNR’s through-focus behavior. The impact of defocus limits inspection performance based on defect SNR. Using critical defect sizes in a case study, we show the defect SNR performance of the limiting case and discuss the possibility of utilizing a nominal defocus in the inspection system to leverage the phase effect of EUV mask absorber to improve the defect SNR. A 50% improvement in defect SNR is shown to be possible by introducing a −50  nm nominal defocus into the bright field inspection system.


Proceedings of SPIE | 2017

Impact of EUV SRAF on Bossung tilt

Yow-Gwo Wang; Robert John Socha; Andrew R. Neureuther; Patrick P. Naulleau

Mask 3D (M3D) effects remain a significant challenge affecting EUV lithography (EUVL) imaging performance due to the comparable sizes of the mask and the EUV wavelength. Pre-compensation with the insertion of sub-resolution assist features (SRAFs) has been proposed as a solution to compensate M3D effects and improve the process window for advanced technology nodes. In this paper, we discuss the possible positive impact of SRAFs on Bossung tilt, and provide physical insight into the optical mechanisms at play enabling M3D effect mitigation. In particular, we consider an example isolated 2-bar (CD = 16 nm) pattern imaged under delta function dipole illumination. We compare the scattered order distribution and Bossung tilt with and without SRAFs. The results show that SRAFs actually introduce stronger effective single pole aberrations in the imaging process. However, the opposite impacts on Bossung tilt from each pole results in an overall improvement for dipole illumination. Reduced Bossung tilt and a 21% improvement of the overlapping process window are achieved by the insertion of asymmetric SRAFs into the 2-bar mask design.


Journal of Micro-nanolithography Mems and Moems | 2017

Impact of tool design on defect detection sensitivity in extreme ultraviolet actinic blank inspection

Yow-Gwo Wang; Andrew R. Neureuther; Patrick P. Naulleau

Abstract. We discuss the impact of various tool design perspectives on defect detection sensitivity for dark-field-based extreme ultraviolet (EUV) actinic blank inspection. We consider the impact of pixel size, EUV source type, and photon collection efficiency on critical defect signal-to-noise ratio (SNR) performance. The results show that as the pixel size approaches the target defect image size, defect SNR increases, and that pixel size also determines the dominant noise source in the inspection system. Moreover, the choice of the EUV source affects the optimal numerical aperture (NA) and illumination settings. For plasma-discharged sources, more photons provided by larger partial coherent illumination can improve the defect SNR, while coherent illumination is needed to get a higher defect SNR for synchrotron-based source. In the end, we show that simply increasing the photon collection efficiency by using high-NA optics or increasing the source power cannot always improve the defect SNR. In a speckle-noise dominated situation, larger outer NA includes more noise than defect signal, thus resulting in a lower SNR. The impact of source power also saturates at a certain level as the system becomes speckle-noise limited compared to photon-noise limited.


Proceedings of SPIE | 2016

Enhancing native defect sensitivity for EUV actinic blank inspection: optimized pupil engineering and photon noise study

Yow-Gwo Wang; Andrew R. Neureuther; Patrick P. Naulleau

In this paper, we discuss the impact of optimized pupil engineering and photon noise on native defect sensitivity in EUV actinic blank inspection. Native defects include phase-dominated defects, absorber defects, and defects with a combination of phase and absorption behavior. First, we extend the idea of the Zernike phase contrast (ZPC) method and study the impact of optimum phase shift in the pupil plane on native defect sensitivity, showing a 23% signal-to-noise ratio (SNR) enhancement compare to bright field (BF) for a phase defect with 20% absorption. We also describe the possibility to increase target defect SNR on target defect sizes at the price of losing the sensitivity on smaller (non-critical) defects. Moreover, we show the advantage of the optimized phase contrast (OZPC) method over BF EUV actinic blank inspection. A single focus scan from OZPC has better inspection efficiency over BF. Second, we make a detailed comparison between the phase contrast with apodization (AZPC) method and dark field (DF) method based on defect sensitivity in the presence of both photon shot noise and camera noise. Performance is compared for a variety of photon levels, mask roughness conditions, and combinations of defect phase and absorption.


SPIE Photomask Technology | 2015

Examination of phase retrieval algorithms for patterned EUV mask metrology

Rene A. Claus; Yow-Gwo Wang; Antoine Wojdyla; Markus P. Benk; Kenneth A. Goldberg; Andrew R. Neureuther; Patrick P. Naulleau

We evaluate the performance of several phase retrieval algorithms using through-focus aerial image measurements of patterned EUV photomasks. Patterns present a challenge for phase retrieval algorithms due to the high- contrast and strong diffraction they produce. For this study, we look at the ability to correctly recover phase for line-space patterns on an EUV mask with a TaN absorber and for an etched EUV multilayer phase shift mask. The recovered phase and amplitude extracted from measurements taken using the SHARP EUV microscope at Lawrence Berkeley National Laboratory is compared to rigorous, 3D electromagnetic simulations. The impact of uncertainty in background intensity, coherence, and focus on the recovered field is evaluated to see if the algorithms respond differently.


Rundbrief Der Gi-fachgruppe 5.10 Informationssystem-architekturen | 2015

Partially Coherent Quantitative Phase Retrieval for EUV Lithography

Rene A. Claus; Yow-Gwo Wang; Markus P. Benk; Kenneth A. Goldberg; Patrick P. Naulleau; Andrew R. Neureuther; Laura Waller

We present a phase recovery algorithm based on the Weak Object Transfer Function. The algorithm is iteratively extended to also apply to non-weak objects. We demonstrate the algorithm on an EUV multilayer defect imaged through-focus on SHARP with both a standard zone plate and a phase contrast zone plate.

Collaboration


Dive into the Yow-Gwo Wang's collaboration.

Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Markus P. Benk

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Antoine Wojdyla

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Ryan Miyakawa

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Weilun Chao

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

David G. Johnson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Rene A. Claus

University of California

View shared research outputs
Top Co-Authors

Avatar

Alexander P. Donoghue

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge