Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yun Zhuang is active.

Publication


Featured researches published by Yun Zhuang.


Japanese Journal of Applied Physics | 2010

Investigating Effect of Conditioner Aggressiveness on Removal Rate during Interlayer Dielectric Chemical Mechanical Planarization through Confocal Microscopy and Dual Emission Ultraviolet-Enhanced Fluorescence Imaging

Ting Sun; Len Borucki; Yun Zhuang; Yasa Sampurno; Fransisca Sudargho; Xiaomin Wei; Sriram Anjur; Ara Philipossian

The effect of conditioner aggressiveness is investigated in interlayer dielectric polishing on three types of pad. A method using confocal microscopy is used to analyze the effect of conditioner aggressiveness on pad–wafer contact. Results show that a more aggressive conditioner produces a higher interlayer dielectric polishing rate while at the same time a pad surface with fewer contacting summits and less contact area. It is found that the ratio of the contacting summit density to the contact area fraction is more important than either parameter measured separately since the ratio determines the mean real contact pressure. Modeling results based on contact area measurements agree well with experimental results. Moreover, it is found that a more aggressive disc also generates a thicker slurry film at the pad–wafer interface. This is in agreement with our general findings regarding pad asperity height distribution obtained using confocal microscopy.


Journal of The Electrochemical Society | 2005

A Method for Direct Measurement of Substrate Temperature during Copper CMP

Yasa Sampurno; Leonard Borucki; Yun Zhuang; Duane S. Boning; Ara Philipossian

A novel method was developed to directly measure the substrate temperature during copper chemical mechanical planarization (CMP). Using specially designed wafer carriers, substrate temperatures were obtained in real-time with an infrared camera. Results indicate that substrate temperatures are higher than pad temperatures. In addition, the substrate temperature distribution appears to be closely related to slurry flow beneath the substrate during polishing. A three-dimensional thermal model was also developed to simulate the pad and wafer temperatures. Simulations support the interpretation of the experimental data.


Japanese Journal of Applied Physics | 2010

Characterization of Pad–Wafer Contact and Surface Topography in Chemical Mechanical Planarization Using Laser Confocal Microscopy

Ting Sun; Yun Zhuang; Leonard Borucki; Ara Philipossian

In this study, an optical method using laser confocal microscopy was developed to measure the surface contact area and topography of pads under a dry and static condition. A custom-made pad sample holder with a sapphire window and a miniature load cell was used to collect pad surface contact images at controlled loads. By extracting the black spots in the collected images, pad contact area and contact summit density were obtained. The analysis of a post polishing pad sample (8,289×921 µm2) showed that the contact area increased from 0.026 to 0.045% when the pressure increased from 2 to 4 psi and increased further to 0.059% when the pressure increased to 6 psi. The contact summit density also exhibited a linear increase with the applied pressure. The above results were consistent with the Greenwood and Williamson theory, which predicted a linear relationship between pad contact area and contact summit density. Laser confocal microscopy was also used to measure pad surface topography by establishing probability density functions (PDFs) of pad surface height.


Japanese Journal of Applied Physics | 2011

Tribological, thermal, and kinetic characterization of 300-mm copper chemical mechanical planarization process

Yubo Jiao; Yasa Sampurno; Yun Zhuang; Xiaomin Wei; Anand Meled; Ara Philipossian

In this study, the tribological, thermal, and kinetic attributes of 300-mm copper chemical mechanical planarization were characterized for two different pads. The coefficient of friction (COF) ranged from 0.39 to 0.59 for the D100 pad, indicating that boundary lubrication was the dominant tribological mechanism. In comparison, COF decreased sharply from 0.55 to 0.03 for the IC1000 pad, indicating that the tribological mechanism transitioned rapidly from boundary lubrication to partial lubrication. Consequently, the D100 pad exhibited higher pad temperatures and removal rates than the IC1000 pad. A two-step modified Langmuir–Hinshelwood model was used to simulate copper removal rates as well as chemical and mechanical rate constants. The simulated copper removal rates agreed very well with experimental data and the model successfully captured the non-Prestonian behavior. The simulated chemical rate to mechanical rate constant ratios indicated that the IC1000 pad generally produced a more mechanically controlled removal mechanism than the D100 pad.


Electrochemical and Solid State Letters | 2011

Effect of Pad Surface Micro-Texture on Coefficient of Friction and Removal Rate during Copper CMP Process

Xiaoyan Liao; Yun Zhuang; Leonard Borucki; Siannie Theng; Xiaomin Wei; Toranosuke Ashizawa; Ara Philipossian

In this study, 200-mm blanket copper wafers were polished on an IC1010 M-groove pad, which was conditioned by a 3M A2810 disc and Mitsubishi Materials Corporation (MMC) TRD disc. Pad surface contact area and topography were analyzed using laser confocal microscopy and scanning electron microscopy. The MMC TRD disc generated a lot of large near contact areas corresponding to fractured and collapsed pore walls. The fractured and collapsed pore walls partly covered the adjacent pores, making the pad surface more lubricated during wafer polishing and rendering significantly lower coefficient of friction and removal rate than the 3M A2810 disc.


Japanese Journal of Applied Physics | 2010

End-point detection of Ta/TaN chemical mechanical planarization via forces analysis

Yasa Sampurno; Xun Gu; Takenao Nemoto; Yun Zhuang; Akinobu Teramoto; Ara Philipossian; Tadahiro Ohmi

This study explores the transition of shear force spectral fingerprints during tantalum (Ta) and/or tantalum nitride (TaN) chemical mechanical planarization on patterned wafers using a polisher and tribometer that has the unique ability to measure shear force and down force in real-time. Fast Fourier Transformation is performed to convert the raw force data from time domain to frequency domain and to illustrate the amplitude distribution of shear force and down force. Results show that coefficient of friction, variance of shear force and variance of down force increase during polishing when the Ta/TaN layer is removed thus exposing the inter-layer dielectric layer. Unique and consistent spectral fingerprints are generated from shear force data showing significant changes in several fundamental peaks before, during and after Ta/TaN clearing. Results show that a combination of unique spectral fingerprinting, coefficient of friction and analysis of force variance can be used to monitor in real-time the polishing progress during Ta/TaN chemical mechanical planarization for optimal polishing time.


Japanese Journal of Applied Physics | 2010

Optical and Mechanical Characterization of Chemical Mechanical Planarization Pad Surfaces

Ting Sun; Yun Zhuang; Leonard Borucki; Ara Philipossian

Both contact and non-contact methods are used to analyze surface properties of three types of chemical mechanical planarization (CMP) pads: plain, XY grooved, and concentrically grooved. Optical interferometry is used to probe the pad surface without contact and to produce a surface height probability density function (PDF). The right hand contacting tail of the PDF is often found to be exponential for CMP pads and a decay length (λ) as a measure of pad surface abruptness can be extracted. An incremental loading device is developed and used to measure the pad surface mechanical response. A pad–wafer contact model based on Greenwood and Williamson theory is used to interpret the nonlinear features of the pad surface compression data, which, furthermore, enables independent calculation of λ from mechanical data. Surface abruptness (λ) extracted from both methods (optical and mechanical) is found to be consistent for all three types of pads. No significant difference is observed in the pad surface abruptness among the three types of pads.


Japanese Journal of Applied Physics | 2011

Analysis of A Novel Slurry Injection System in Chemical Mechanical Planarization

Anand Meled; Yun Zhuang; Yasa Sampurno; Siannie Theng; Yubo Jiao; Leonard Borucki; Ara Philipossian

Slurry mean residence time (MRT), removal rate, and polishing defects were analyzed for a novel slurry injection system used in chemical mechanical planarization. The novel slurry injection system was placed adjacent to the wafer on the pad surface and slurry was injected towards the wafer through multiple holes in the trailing edge of the injector bottom. Results showed the novel slurry injection system provided more efficient slurry delivery to the pad–wafer interface and generated lower slurry MRT, higher removal rate, and lower polishing defects than the standard pad center area slurry application method currently used in the IC manufacturing industry.


Japanese Journal of Applied Physics | 2005

Frictional and removal rate studies of silicon dioxide and silicon nitride CMP using novel cerium dioxide abrasive slurries

Yun Zhuang; Deanna King; Takanori Kido; Ara Philipossian

Novel slurries containing cerium dioxide particles as the abrasives were used for silicon dioxide and silicon nitride CMP in this study. Real-time frictional force was measured during polishing. Slurries with varying ceria abrasive concentrations achieved different friction forces during the silicon dioxide and silicon nitride polishing. The effects of the ceria abrasive concentration on the silicon dioxide and silicon nitride removal rates were also investigated. The silicon dioxide removal rates exhibited non-Prestonian behavior, which was attributed to the additives used in the slurries. Being specially formulated for shallow trench isolation (STI) applications, these novel slurries achieved high oxide-to-nitride removal rate selectivities.


Japanese Journal of Applied Physics | 2009

Theoretical and experimental investigation of conditioner design factors on tribology and removal rate in copper chemical mechanical planarization

Leonard Borucki; Hyosang Lee; Yun Zhuang; Naoki Nikita; Ryozo Kikuma; Ara Philipossian

Three theories connecting conditioning with material removal rates by the coefficient of friction are proposed and experimentally verified. The conditioning theory is employed to provide a specific prediction on how the number of active diamonds, cut rate, and cut furrow geometry affect pad surface abruptness. The same surface abruptness parameter was a factor in both the coefficient of friction and removal rate theories. The theory predicts a priori that friction and removal rate should decrease as the conditioned surface became less abrupt. Simple models of cut rate and active diamond count further indicate that abruptness should decrease with increasing conditioner load. Mitsubishi Materials Corporation conditioners with 60, 100, and 200 grit sizes are used to test the theory in an experiment in which conditioner load is varied from light to heavy. Polishing experiments and pad profilometry verified the main predictions of the theory.

Collaboration


Dive into the Yun Zhuang's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yubo Jiao

University of Arizona

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge