Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Abraham Arceo is active.

Publication


Featured researches published by Abraham Arceo.


Proceedings of SPIE | 2013

In-line E-beam wafer metrology and defect inspection: the end of an era for image-based critical dimensional metrology? New life for defect inspection

Eric P. Solecky; Oliver D. Patterson; Andrew Stamper; Erin Mclellan; Ralf Buengener; Alok Vaid; Carsten Hartig; Benjamin Bunday; Abraham Arceo; Aron Cepler

Metrology measurement and defect inspection steps in routes are more pervasive than many people realize and the number continues to grow. Digging deeper, it turns out that E-beam metrology and defect inspection tools typically occupy the most overall steps and therefore are extremely critical tools for semiconductor development and manufacturing. The Critical Dimension Scanning Electron Microscope (CDSEM) is an E-beam tool responsible for image-based structural metrology measurements while the E-beam review (EBR) and E-beam inspection (EBI) tools are responsible for defect inspection. The CDSEM faces significant future challenges in a world where device architectures are changing (as with the FinFET device), increasing the need for more structural measurement parameters such as sidewall angle, height and undercut, than the CDSEM can deliver. These applications are now migrating to scattering-based tools, also referred to as model- or computational-based structural metrology techniques. We explore the history of the CDSEM, the key fundamental limits (primarily resolution) of the CDSEM preventing it from capturing these applications and assess if an image-based structural metrology tool is still needed given the niche that scattering tools are filling. The answer is yes. Next we discuss whether the CDSEM will eventually meet those needs or if other alternative solutions are needed. Lastly, we discuss an industry survey on which image-based technique holds the most promise to solve these challenges. Regarding defect inspection, resolution is also a concern for the applications that need to detect extremely small defects which current optical-based Brightfield tools cannot address. While resolution is a primary concern in structural metrology, current EBI and EBR tools possess the resolution needed for defect inspection and have extendibility through at least the next advanced technology node. We also explore the niche E-beam is filling in defect inspection, evaluate the idea that a better synergistic solution exists today between the CDSEM and the EBI tools and propose a future E-beam landscape where E-beam tool variety in future fabs is significantly reduced to enhance productivity.


Proceedings of SPIE | 2014

CD-SEM metrology for sub-10nm width features

Benjamin Bunday; Aron Cepler; Aaron Cordes; Abraham Arceo

This paper will explore the possibilities of critical dimension scanning electron microscope (CD-SEM) metrology at sub- 10 nm feature sizes using modeling. JMONSEL simulations will be used to illustrate SEM waveforms for very small features, as a function of beam energy, feature size, profile height and sidewall angle. It will also be shown that the dimensions of the electron beam and interaction volume have very strong influence on the results. Using modeled results, an assessment on required image quality for future tools will be presented, along with a framework for linking spot size and image resolution. Additionally, from the generated waveforms, various measurement algorithms will be evaluated for such future nanometer-scale applications.


Optics Express | 2013

Three-dimensional deep sub-wavelength defect detection using λ = 193 nm optical microscopy

Bryan M. Barnes; Martin Y. Sohn; Francois Goasmat; Hui Zhou; Andras Vladar; Richard M. Silver; Abraham Arceo

Optical microscopy is sensitive both to arrays of nanoscale features and to their imperfections. Optimizing scattered electromagnetic field intensities from deep sub-wavelength nanometer scale structures represents an important element of optical metrology. Current, well-established optical methods used to identify defects in semiconductor patterning are in jeopardy by upcoming sub-20 nm device dimensions. A novel volumetric analysis for processing focus-resolved images of defects is presented using simulated and experimental examples. This new method allows defects as narrow as (16 ± 2) nm (k = 1) to be revealed using 193 nm light with focus and illumination conditions optimized for three-dimensional data analysis. Quantitative metrics to compare two-dimensional and three-dimensional imaging indicate possible fourfold improvements in sensitivity using these methods.


Proceedings of SPIE | 2013

Enhancing 9 nm Node Dense Patterned Defect Optical Inspection using Polarization, Angle, and Focus

Bryan M. Barnes; Francois Goasmat; Martin Y. Sohn; Hui Zhou; Richard M. Silver; Abraham Arceo

To measure the new SEMATECH 9 nm node Intentional Defect Array (IDA) and subsequent small, complex defects, a methodology has been used to exploit the rich information content generated when simulating or acquiring several images of sub-wavelength-sized defects through best focus. These images, which are xy planes, collected using polarized illumination are stacked according to focus position, z, and through interpolation, volumetric pixels (“voxels”) are formed sized approximately 40 nm per side. From the image data, an intensity can be assigned to each (x,y,z) position. These four-dimensional matrices are extensively filtered for defect detection using multi-dimensional intensity thresholding, nearest-neighbor criteria, continuity requirements, and other techniques standard to optical defect inspection. A simulation example with oblique angles of illumination is presented. Experimental results are shown from the NIST λ=193 nm Microscope using full-field illumination. Volumetric data analysis is compared against the processing of single 2-D images. Defect metrics for comparing planar and volumetric data are developed with the potential shown for a five-fold increase in defect sensitivity using volumetric data versus conventional imaging.


Proceedings of SPIE | 2012

Patterned defect and CD metrology by TSOM beyond the 22-nm node

Abraham Arceo; Benjamin Bunday; Victor Vartanian; Ravikiran Attota

Through-focus scanning optical microscopy (TSOM) is a novel method [1-8] that allows conventional optical microscopes to collect dimensional information down to the nanometer level by combining 2D optical images captured at several through-focus positions, transforming conventional optical microscopes into truly 3D metrology tools for nanoscale to microscale dimensional analysis with nanometer scale sensitivity. Although not a resolution enhancement method, it has been shown to provide lateral and vertical measurement sensitivity of less than a nanometer [5], comparable to the dimensional measurement sensitivity of other critical dimension (CD) metrology tools. The technique is capable of measuring features far beyond the theoretical resolution limits of optical microscopy, because it can capture much richer data at many z-heights (i.e., through focus). Additionally, TSOM appears to decouple the measurement of profile dimensional changes at the nanoscale, such as small perturbations in sidewall angle and height, with little or no ambiguity, and may be able to analyze target dimensions ranging from as small as 10 nm up to many microns with similar nanometer-scale sensitivity. Furthermore, previous simulation and experimental work has shown this method to be applicable to a variety of target materials and structures, such as nanoparticles, semiconductor memory features, and buried structures under transparent films. Additionally, this relatively simple technique is inexpensive and has high throughput, making it attractive for a variety of semiconductor metrology applications, such as CD, photomask, overlay, and defect metrologies [8]. In-line defect metrology is continuously challenged by the aggressive pace of device scaling. It is expected that the conventional brightfield techniques currently used in semiconductor manufacturing will not be able to meet defect inspection requirements near the 11 nm node. Electron beam-based inspection is able to meet resolution limits well below the 11 nm node, but operates at a significantly lower throughput. It has therefore become necessary to explore alternative approaches that have the potential to meet both resolution and throughput requirements. This work will present TSOM results of simulations and supporting experiments to demonstrate the metrology application of TSOM to features at the ITRS 22 nm node [9], including measurement of linewidths down to 10 nm, showing the ability to measure changes in line height, sidewall angle, and pitch variations. By extension, these results will show the feasibility of applying TSOM to important contemporary metrology problems in measuring doublepatterned features and FinFETs. Additionally, we will theoretically explore the use of TSOM to inspect defects on gatelevel arrays with different CDs down to 15 nm. This theoretical work consisted of modeling the optical response of cross-sectional perturbations and several patterned defect types and sizes using illumination wavelengths ranging from visible to deep ultraviolet (DUV) under different illumination polarizations. The results indicate that TSOM may be able to detect small CD and profile changes in fins of FinFET structures as well as defects that currently challenge conventional brightfield optical methods. The simulation results also indicate an added advantage of the TSOM method to differentiate certain types of defects and their orientations by exhibiting different optical intensity patterns. These results will provide insight into the feasibility of TSOM for CD and yield enhancement metrology.


Proceedings of SPIE | 2012

Scatterfield microscopy of 22-nm node patterned defects using visible and DUV light

Bryan M. Barnes; Yeungjoon Sohn; Francois Goasmat; Hui Zhou; Richard M. Silver; Abraham Arceo

Smaller patterning dimensions and novel architectures are fostering research into improved methods of defect detection in semiconductor device manufacturing. This experimental study, augmented with simulation, evaluates scatterfield microscopy to enhance defect detectability on two separate 22 nm node intentional defect array wafers. Reducing the illumination wavelength nominally delivers direct improvements to detectability. Precise control of the focus position is also critical for maximizing the defect signal. Engineering of the illumination linear polarization and incident angle are shown to optimize the detection of certain highly directional defects. Scanning electron microscopy verifies that sub 15 nm defects can be measured experimentally using 193 nm wavelength light. Techniques are discussed for taking advantage of the complexities inherent in the scattering of highly directional defects within unidirectional patterning. Although no one single set of parameters can be optimized to detect all defects equally, source optimization is shown to be a realistic path towards improved sensitivity.


Proceedings of SPIE | 2013

Use of TSOM for sub-11nm node pattern defect detection and HAR features

Abraham Arceo; Benjamin Bunday; Ravikiran Attota

In-line metrologies currently used in the semiconductor industry are being challenged by the aggressive pace of device scaling and the adoption of novel device architectures. In defect inspection, conventional bright field techniques will not likely be able to meet defect capture rate requirements beyond the 16 nm node. Electron beam-based inspection is able to meet resolution limits well below this node, but operates at a significantly lower throughput. It, therefore, has become necessary to explore alternative approaches with the potential to meet both resolution and throughput requirements. Critical dimension (CD) metrology, on the other hand, is less challenged by resolution than by the increasingly 3D nature of the information that needs to be collected from modern device structures. It is therefore valuable to explore metrology techniques that are sensitive to spatial variations across the entire volume of the interrogated feature. Through-focus scanning optical microscopy (TSOM) is a novel method that allows conventional optical microscopes to collect dimensional information down to the nanometer level by combining 2D optical images captured at several through-focus positions. This relatively simple technique is inexpensive and has high throughput, making it attractive for a variety of semiconductor metrology applications, such as CD, photomask, overlay, and defect metrologies. In this work, we expand on the analysis of TSOM as a potential technique for defect inspection and study its ability to characterize 3D high aspect ratio (HAR) features. For defect inspection applications, we extend the simulation space well beyond the 11 nm node, based on dense features with CDs ranging from 13 nm to 7 nm. The optical response of a variety of patterned defect modes, sizes, and heights was likewise explored under different polarization and wavelength illumination conditions. Results indicate TSOM has the ability to extract defect signal for most of the cases studied. Work on HAR features focused on exploring 3D sensitivity to features such as bottom CD, sidewall angle, and depth. HAR targets were studied using simulations down to the 11 nm node. Promising results were observed in terms of sensitivity to bottom CD, sidewall angle, and depth.


Proceedings of SPIE | 2011

High-speed atmospheric imaging of semiconductor wafers using rapid probe microscopy

Priyanka Kohli; Jeff Lyons; Andrew D. L. Humphris; Benjamin Bunday; Abraham Arceo; Akira Hamaguchi; Dilip Patel; David Bakker

The aggressive device scaling imposed by the International Technology Roadmap for Semiconductors (ITRS) is introducing additional and more demanding challenges to current in-line monitoring tools. In this paper we present a new probe microscopy based technology, the Rapid Probe Microscope (RPM), which produces nano-scale images using a height contrast mechanism in a non-vacuum environment. The system offers the possibility to address metrology challenges in alternative ways to existing review and inspection tools. This paper presents applications of the RPM process which cater to the requirements of the semiconductor industry. Results on several standard semiconductor wafer layers have been used to demonstrate the capabilities of the RPM process, including nano-scale surface imaging at high image capture rates.


Proceedings of SPIE | 2014

Optical volumetric inspection of sub-20nm patterned defects with wafer noise

Bryan M. Barnes; Francois Goasmat; Martin Y. Sohn; Hui Zhou; Andras Vladar; Richard M. Silver; Abraham Arceo

We have previously introduced a new data analysis method that more thoroughly utilizes scattered optical intensity data collected during defect inspection using bright-field microscopy. This volumetric approach allows conversion of focus resolved 2-D collected images into 3-D volumes of intensity information and also permits the use of multi-dimensional processing and thresholding techniques to enhance defect detectability. In this paper, the effects of wafer noise upon detectability using volumetric processing are assessed with both simulations and experiments using the SEMATECH 9 nm node intentional defect array. The potential extensibility and industrial application of this technique are evaluated.


Proceedings of SPIE | 2014

Novel three dimensional (3D) CD-SEM profile measurements

Wataru Ito; Benjamin Bunday; Sumito Harada; Aaron Cordes; Tsutomu Murakawa; Abraham Arceo; Makoto Yoshikawa; Toshihiko Hara; Takehito Arai; Soichi Shida; Masayuki Yamagata; Jun Matsumoto; Takayuki Nakamura

A new SEM technology, Advantests Wafer MVM-SEM E3310, is becoming available that allows quantitative, image-based 3D profile metrology of nanoscale features. CD-AFM is generally employed for 3D profile information, but this technique has its own limitations for 1Xnm node production due to tip size constraints in the tightest spaces, and due to finite tip lifetime which can influence measurement stability. Using the patented multi-channel detector technology, this system can acquire information of surface concave and convex features and relative side wall angle (SWA) and height, quickly and non-destructively for nanoscale structures [1] [2]. Here we evaluate this new technology and demonstrate its applicability to contemporary advanced structures.

Collaboration


Dive into the Abraham Arceo's collaboration.

Top Co-Authors

Avatar

Bryan M. Barnes

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Francois Goasmat

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Hui Zhou

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Richard M. Silver

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Martin Y. Sohn

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Andras Vladar

National Institute of Standards and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge