Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Benjamin Bunday is active.

Publication


Featured researches published by Benjamin Bunday.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Determination of optimal parameters for CD-SEM measurement of line-edge roughness

Benjamin Bunday; Michael Bishop; Donald W. McCormack; John S. Villarrubia; Andras Vladar; Ronald G. Dixson; Theodore V. Vorburger; Ndubuisi G. Orji; John A. Allgair

The measurement of line-edge roughness (LER) has recently become a topic of concern in the litho-metrology community and the semiconductor industry as a whole. The Advanced Metrology Advisory Group (AMAG), a council composed of the chief metrologists from the International SEMATECH (ISMT) consortium’s Member Companies and from the National Institute of Standards and Technology (NIST), has a project to investigate LER metrics and to direct the critical dimension scanning electron microscope (CD-SEM) supplier community towards a semiconductor industry-backed, standardized solution for implementation. The 2003 International Technology Roadmap for Semiconductors (ITRS) has included a new definition for roughness. The ITRS envisions root mean square measurements of edge and width roughness. There are other possible metrics, some of which are surveyed here. The ITRS envisions the root mean square measurements restricted to roughness wavelengths falling within a specified process-relevant range and with measurement repeatability better than a specified tolerance. This study addresses the measurement choices required to meet those specifications. An expression for the length of line that must be measured and the spacing of measurement positions along that length is derived. Noise in the image is shown to produce roughness measurement errors that have both random and nonrandom (i.e., bias) components. Measurements are reported on both UV resist and polycrystalline silicon in special test patterns with roughness typical for those materials. These measurements indicate that the sensitivity of a roughness measurement to noise depends importantly both on the choice of edge detection algorithm and the quality of the focus. Measurements are less sensitive to noise when a model-based or sigmoidal fit algorithm is used and when the images are in good focus. Using the measured roughness characteristics for UV resist lines and applying the ITRS requirements for the 90 nm technology node, the derived expression for sampling length and sampling interval implies that a length at least 8 times the node (i.e., 720 nm) must be measured at intervals of 7.5 nm or less.


Proceedings of SPIE | 2007

Fundamental limits of optical critical dimension metrology: a simulation study

Richard M. Silver; Thomas A. Germer; Ravikiran Attota; Bryan M. Barnes; Benjamin Bunday; John Allgair; Egon Marx; Jay Jun

This paper is a comprehensive summary and analysis of a SEMATECH funded project to study the limits of optical critical dimension scatterometry (OCD). The project was focused on two primary elements: 1) the comparison, stability, and validity of industry models and 2) a comprehensive analysis of process stacks to evaluate the ultimate sensitivity and limits of OCD. Modeling methods are a requirement for the interpretation and quantitative analysis of scatterometry data. The four models evaluated show good agreement over a range of targets and geometries for zero order specular reflection as well as higher order diffraction. A number of process stacks and geometries representing semiconductor manufacturing nodes from the 45 nm node to the 18 nm node were simulated using several measurement modalities including angle-resolved scatterometry and spectrally-resolved scatterometry, measuring various combinations of intensity and polarization. It is apparent in the results that large differences are observed between those methods that rely upon unpolarized and single polarization measurements. Using the three parameter fits and assuming that the sensitivity of scatterometry must meet the criterion that the 3σ uncertainty in the bottom dimension must be less than 2% of the linewidth, specular scatterometry solutions exist for all but the isolated lines at 18 nm node. Scatterometry does not have sufficient sensitivity for isolated and semi-isolated lines at the 18 nm node unless the measurement uses wavelengths as short as 200 nm or 150 nm and scans over large angle ranges.


Proceedings of SPIE | 2009

Developing an uncertainty analysis for optical scatterometry

Thomas A. Germer; Heather J. Patrick; Richard M. Silver; Benjamin Bunday

This article describes how an uncertainty analysis may be performed on a scatterometry measurement. A method is outlined for propagating uncertainties through a least-squares regression. The method includes the propagation of the measurement noise as well as estimates of systematic effects in the measurement. Since there may be correlations between the various parameters determined by the measurement, a method is described for visualizing the uncertainty in the extracted profile. The analysis is performed for a 120 nm pitch grating, consisting of photoresist lines 120 nm high, 45 nm critical dimension, and 88° side wall angle, measured with a spectroscopic rotating compensator ellipsometer. The results suggest that, while scatterometry is very precise, there are a number of sources of systematic errors that limit its absolute accuracy. Addressing those systematic errors may significantly improve scatterometry measurements in the future.


Journal of Micro-nanolithography Mems and Moems | 2007

Progress on implementation of a reference measurement system based on a critical-dimension atomic force microscope

Ndubuisi G. Orji; Ronald G. Dixson; Angela Martinez; Benjamin Bunday; John Allgair; Theodore V. Vorburger

The National Institute of Standards and Technology (NIST) and SEMATECH are working to address traceability issues in semiconductor dimensional metrology. In semiconductor manufacturing, many of the measurements made in the fab are not traceable to the SI unit of length. This is because a greater emphasis is often placed on precision and tool matching than on accuracy. Furthermore, the fast pace of development in the industry makes it difficult to introduce suitable traceable standard artifacts in a timely manner. To address this issue, NIST and SEMATECH implemented a critical-dimension atomic-force-microscope-based reference measurement system (RMS). The system is calibrated for height, pitch, and width, and has traceability to the SI definition of length in all three axes. Because the RMS is expected to function at a higher level of performance than inline tools, the level of characterization and handling of uncertain sources is on a level usually seen in instruments at national measurement institutes. In this work, we discuss recent progress in reducing the uncertainty of the instrument as well as details of a newly implemented performance monitoring system. We also present an example of how the RMS concept can be used in a semiconductor manufacturing environment.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Dimensional metrology of resist lines using a SEM model-based library approach

John S. Villarrubia; Andras Vladar; Benjamin Bunday; Michael Bishop

The widths of 284 lines in a 193 nm resist were measured by two methods and the results compared. One method was scanning electron microscopy (SEM) of cross-sections. The other was a model-based library (MBL) approach in which top-down CD-SEM line scans of structures are compared to a library of simulated line scans, each one of which corresponds to a well-defined sample structure. Feature edge shapes and locations are determined by matching measured to simulated images. This way of determining critical dimensions makes use of known physics of the interaction of the electron beam with the sample, thereby removing some of the ambiguity in sample edge positions that are assigned by more arbitrary methods. Thus far, MBL has shown promise on polycrystalline silicon samples [Villarrubia et al., Proc. SPIE 4689, pp. 304-312 (2002)]. Resist lines, though important in semiconductor manufacturing, pose a more difficult problem because resist tends to shrink and charge upon electron beam exposure. These phenomena are not well characterized, and hence are difficult to include in the models used to construct libraries. Differences between the techniques had a systematic component of 3.5 nm and a random component of about 5 nm. These differences are an upper bound on measurement errors attributable to resist properties, since they are partly attributable to other causes (e.g,. linewidth roughness).


Proceedings of SPIE | 2013

Gaps analysis for CD metrology beyond the 22nm node

Benjamin Bunday; Thomas A. Germer; Victor Vartanian; Aaron Cordes; Aron Cepler; Charles Settens

This paper will examine the future for critical dimension (CD) metrology. First, we will present the extensive list of applications for which CD metrology solutions are needed, showing commonalities and differences among the various applications. We will then report on the expected technical limits of the metrology solutions currently being investigated by SEMATECH and others in the industry to address the metrology challenges of future nodes, including conventional CD scanning electron microscopy (CD-SEM) and optical critical dimension (OCD) metrology and new potential solutions such as He-ion microscopy (HeIM, sometimes elsewhere referred to as HIM), CD atomic force microscopy (CD-AFM), CD small-angle x-ray scattering (CD-SAXS), high-voltage scanning electron microscopy (HV-SEM), and other types. A technical gap analysis matrix will then be demonstrated, showing the current state of understanding of the future of the CD metrology space.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

Implementation of Reference Measurement System using CD-AFM

Ronald G. Dixson; Angela Guerry; Marylyn Hoy Bennett; Theodore V. Vorburger; Benjamin Bunday

International SEMATECH (ISMT) and the National Institute of Standards and Technology (NIST) are working together to improve the traceability of atomic force microscope (AFM) dimensional metrology in semiconductor manufacturing. The rapid pace of technological change in the semiconductor industry makes the timely introduction of relevant standards challenging. As a result, the link between the realization of the SI (Systeme International d’Unites, or International System of Units) unit of length - the meter - and measurements on the fab line is not always maintained. To improve this situation, we are using an at-line critical dimension-AFM (CD-AFM) at ISMT as a developmental platform. This tool has been implemented as a Reference Measurement System (RMS) in the facilities at ISMT. However, it is currently being replaced by a next-generation CD-AFM tool. Using the current tool, we have performed measurements needed to establish the traceability chain and developed uncertainty budgets. Specifically, we have developed uncertainty budgets for pitch, height, and critical dimension (CD) measurements. Some evaluations were performed using samples for which a full traceability chain is not available. We expect to improve the uncertainties further for such samples. At present, the standard uncertainties are estimated to be approximately 0.2 % for pitch measurements, 0.4 % for step height measurements, and 5 nm for CD measurements in the sub-micrometer range. Similar budgets will be developed for the new tool once it is installed. We will describe our methodology for RMS implementation and the major applications for which it has been used. These include measurements on new NIST/ISMT linewidth standards, a reference tool for CD-scanning electron microscopes (SEMs), metrology on photo-masks, CD-SEM benchmarking, and 193 nm resist shrinkage measurements.


Proceedings of SPIE | 2009

Improving optical measurement accuracy using multi-technique nested uncertainties

Richard M. Silver; Nien Fan Zhang; Bryan M. Barnes; Hui Zhou; Alan Heckert; Ronald G. Dixson; Thomas A. Germer; Benjamin Bunday

This paper compares and contrasts different combinations of scatterfield and scatterometry optical configurations as well as introduces a new approach to embedding atomic force microscopy (AFM) or other reference metrology results directly in the uncertainty analysis and library-fitting process to reduce parametric uncertainties. We present both simulation results and experimental data demonstrating this new method, which is based on the application of a Bayesian analysis to library-based regression fitting of optical critical dimension (OCD) data. We develop the statistical methods to implement this approach of nested uncertainty analysis and give several examples, which demonstrate reduced uncertainties in the final combined measurements. The approach is also demonstrated through a combined reference metrology application using several independent measurement methods.


Process and materials characterization and diagnostics in IC manufacturing. Conference | 2003

CD-SEM measurement line-edge roughness test patterns for 193 nm lithography

Benjamin Bunday; Michael Bishop; John S. Villarrubia; Andras Vladar

The measurement of line-edge roughness (LER) has recently become a major topic of concern in the litho-metrology community and the semiconductor industry as a whole, as addressed in the 2001 International Technology Roadmap for Semiconductors (ITRS) roadmap. The Advanced Metrology Advisory Group (AMAG, a council composed of the chief metrologists from the International SEMATECH (ISMT) consortium’s Member Companies and from the National Institute of Standards and Technology (NIST) has begun a project to investigate this issue and to direct the critical dimension scanning electron microscope (CD-SEM) supplier community towards a semiconductor industry-backed solution for implementation. The AMAG group has designed and built a 193 nm reticle that includes structures implementing a number of schemes to intentionally cause line edge roughness of various spatial frequencies and amplitudes. The lithography of these structures is in itself of interest to the litho-metrology community and will be discussed here. These structures, along with several other photolithography process variables, have been used to fabricate a set of features of varying roughness value and structure which span the LER process space of interest. These references are, in turn, useful for evaluation of LER measurement capability. Measurements on different CD-SEMs of major suppliers were used to demonstrate the current state of LER measurement. These measurements were compared to roughness determined off-line by analysis of top-down images from these tools. While no official standard measurement algorithm or definition of LER measurement exists, definitions used in this work are presented and compared in use. Repeatability of the measurements and factors affecting their accuracy were explored, as well as how CD-SEM parameters can affect the measurements.


IEEE Transactions on Semiconductor Manufacturing | 2007

Value-Added Metrology

Benjamin Bunday; John Allgair; Mark Caldwell; Eric P. Solecky; Charles N. Archie; Bryan J. Rice; Bhanwar Singh; Jason P. Cain; Iraj Emami

The conventional premise, long-touted among the semiconductor processing community, that metrology is a ldquonon-value-added necessary evil,rdquo is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled ldquovalue-addedrdquo processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction, design for manufacturability, and advanced process control are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in a high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to being a ldquokey enabler of large revenues,rdquo debunking the out-of-date premise that metrology is ldquonon-value-added.rdquo

Collaboration


Dive into the Benjamin Bunday's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

John S. Villarrubia

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Ronald G. Dixson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Ndubuisi G. Orji

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Andras Vladar

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Wen-Li Wu

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge