Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Adam Urbanowicz is active.

Publication


Featured researches published by Adam Urbanowicz.


Journal of Applied Physics | 2010

Improving mechanical robustness of ultralow-k SiOCH plasma enhanced chemical vapor deposition glasses by controlled porogen decomposition prior to UV-hardening

Adam Urbanowicz; Kris Vanstreels; P. Verdonck; Denis Shamiryan; S. De Gendt; Mikhail R. Baklanov

We report a new curing procedure of a plasma enhanced chemical vapor deposited SiCOH glasses for interlayer dielectric applications in microelectronic. It is demonstrated that SiOCH glasses with improved mechanical properties and ultralow dielectric constant can be obtained by controlled decomposition of the porogen molecules used to create nanoscale pores, prior to the UV-hardening step. The Young’s modulus (YM) of conventional SiOCH-based glasses with 32% open porosity hardened with porogen is 4.6 GPa, this value is shown to increase up to 5.2 GPa with even 46% open porosity, when the glasses are hardened after porogen removal. This increase in porosity is accompanied by significant reduction in the dielectric constant from 2.3 to 1.8. The increased YM is related to an enhanced molecular-bridging mechanism when film is hardened without porogen that was explained on the base of percolation of rigidity theory and random network concepts.


Journal of Vacuum Science & Technology B | 2011

Effect of UV wavelength on the hardening process of porogen-containing and porogen-free ultralow-k plasma-enhanced chemical vapor deposition dielectricsa)

Adam Urbanowicz; Kris Vanstreels; Patrick Verdonck; Els Van Besien; Trompoukis Christos; Denis Shamiryan; Stefan De Gendt; Mikhail R. Baklanov

The effect of narrow-band 172 nm and broad-band >200 nm UV sources in the new curing scheme of the plasma-enhanced chemical vapor deposition (PECVD) dielectrics is studied. The new curing scheme is based on porogen removal (organic sacrificial phase introduced to generate open porosity) from PECVD dielectric before its final UV curing. The results are compared with the PECVD films fabricated in the conventional scheme in which porogen is still present during the UV curing. The same curing time of porogen-containing conventional PECVD films with 172 nm and >200 nm UV sources results in only 10% difference in their Young’s modulus (YM): 5.84 and 5.32 GPa, respectively. However, the porogen-free films cured with 172 nm UV source show a YM of 6.64 GPa (k100 kHz∼2.2, 44% open porosity), approximately twice as large as those cured with >200 nm UV having a YM of 3.38 GPa (k100 kHz∼2.0, 48% open porosity). The mechanical properties, optical properties in the range of 150–800 nm, dielectric constants at 100 kHz an...


Electrochemical and Solid State Letters | 2007

Damage Reduction and Sealing of Low-k Films by Combined He and NH3 Plasma Treatment

Adam Urbanowicz; Mikhail R. Baklanov; J Heijlen; Youssef Travaly; Andrew Cockburn

Modification of chemical vapor deposition low-k films upon sequential exposure to helium plasma and then ammonia plasma is characterized using various methods. The He plasma emits extreme ultraviolet (EUV) photons creating O 2 vacancies, which impacts surface reactive sites and induces localized chemical modifications in the first surface monolayers. The subsequent NH 3 plasma treatment provides complete sealing of the low-k surface. The depth of the modification, which is a factor of merit of the sealing process, is limited because of the high absorption coefficient of silica-based low-k materials in the range of EUV emission.


Journal of Vacuum Science & Technology B | 2010

Nanoindentation study of thin plasma enhanced chemical vapor deposition SiCOH low-k films modified in He/H2 downstream plasma

Kris Vanstreels; Adam Urbanowicz

The effect of He/H2 downstream plasma (DSP) on the mechanical properties of plasma enhanced chemical vapor deposition SiCOH low-k films was studied using nanoindentation (NI) with the continuous-stiffness measurement technique. Furthermore, the main requirements for reliable NI measurements on plasma-modified low-k films are discussed. The results show that the mechanical properties of these films are intimately linked with their porosity and that exposure to He/H2 DSP causes a change in both the porosity and the mechanical properties of the films. This change is related to the removal of porogen residue formed during the ultraviolet curing of the low-k film.


Journal of Vacuum Science & Technology B | 2010

Effect of energetic ions on plasma damage of porous SiCOH low-k materials

Eddy Kunnen; Mikhail R. Baklanov; Alexis Franquet; Denis Shamiryan; T. V. Rakhimova; Adam Urbanowicz; Herbert Struyf; Werner Boullart

Plasma damage of SiCOH low-k films in an oxygen plasma is studied using a transformer coupled plasma reactor. The concentration of oxygen atoms and O2+ ions is varied by using three different conditions: (1) bottom power only, (2) bottom and top power, and (3) top power only. After plasma exposure, the low-k samples are characterized by various experimental techniques. It is shown that the ion bombardment induced by the bottom power minimizes the plasma damage by increasing the recombination coefficient of oxygen radicals. Contrary to the expectations, the densification of the top surface by ion radiation was limited. The increase in the recombination coefficient is mainly provided by modification of the pore wall surface and creation of chemically active sites stimulating the recombination of oxygen atoms. The results show that a reduction in plasma damage can be achieved without sealing of low-k top surface.


Journal of The Electrochemical Society | 2008

Ultraviolet-Assisted Curing of Organosilicate Glass Low-k Dielectric by Excimer Lamps

Salvador Eslava; Francesca Iacopi; Adam Urbanowicz; Christine E. A. Kirschhock; Karen Maex; Johan A. Martens; Mikhail R. Baklanov

A series of low- k films was exposed to UV-assisted curing (UV curing) with excimer lamps. The influence of the UV-curing wavelength, the UV-curing time, and the maximum pretreatment temperature were investigated. A mechanical, chemical, and optical characterization of this set of experiments is presented. It is revealed that the exposure to UV curing with 172 nm had sufficient energy to abundantly photodissociate Si-C H3 groups and to shrink the films. In turn, the elastic modulus was enhanced. As a side effect caused by the photodissociation of Si-CH groups, the content of Si-OH and Si-H moieties increased. Longer wavelengths (222 and 308 nm) showed less-drastic effects on low- k films because they do not provide sufficient energy to photodissociate Si-CH groups. This fundamental difference existing between different UV-curing wavelengths is evidenced by the optical characterization. Furthermore, this work also reveals the effect of pretreatment temperature on UV curing. Low pretreatment temperatures are required to keep enough photochemical reactivity and matrix mobility.


Journal of The Electrochemical Society | 2010

Effects of He Plasma Pretreatment on Low-k Damage during Cu Surface Cleaning with NH3 Plasma

Adam Urbanowicz; Denis Shamiryan; A. Zaka; Patrick Verdonck; S. De Gendt; M.R. Baklanov

In this study, the effect of the sequential He and NH3 plasma treatments on a chemical vapor deposition SiOC:H low-k dielectric is evaluated in the wide range of experimental conditions. Results show that the active NH3 plasma radicals penetrate the porous low-k bulk and remove the hydrophobic Si–CH3 groups, which leads to hydrophilization and results in the degradation of dielectric properties. The implementation of He plasma pretreatment reduces the damage imposed by the NH3 plasma by a stimulation of the surface recombination of active radicals from NH3 plasma. He plasma causes a surface modification of 10–20 nm presumably due to the energy transfer from the extreme UV photons and the 2 1


Solid State Phenomena | 2007

Effects of Bias, Pressure and Temperature in Plasma Damage of Ultra Low-k Films

Adam Urbanowicz; Aurelie Humbert; Geert Mannaert; Zsolt Tokei; Mikhail R. Baklanov

Introduction. One of the most critical challenges during the integration of porous low-k materials in ULSI devices is their degradation during plasma treatments. Removal of the carbon containing hydrophobic groups can occur during strip and cleaning processes when exposed to process radicals. Further moisture adsorption leads to the film degradation. In addition, the “field damage” caused by ion radiation during plasma processing can also be problematic. Although these effects are discussed in many papers [1,2], the dominant factors of plasma damage are still a subject of intensive discussions. It has been shown that O2 plasma cause damage manifested as undesirable chemical modification (carbon depletion), while H2 based plasmas have more complicated behavior. Some authors report no effect in low-k films, others that it enhances the film properties, and others indicate severe damage [2].


Japanese Journal of Applied Physics | 2011

Influence of the UV Cure on Advanced Plasma Enhanced Chemical Vapour Deposition Low-

Patrick Verdonck; Els Van Besien; Kris Vanstreels; Christos Trompoukis; Adam Urbanowicz; David De Roest; Mikhail R. Baklanov

In a recent study, low-k thin films with low dielectric constant (≤2.1) and high Youngs modulus (>5 GPa) were obtained by introducing a remote plasma step between the traditional plasma enhanced chemical vapour deposition and UV curing. This study shows that the UV curing step with a narrow band lamp with wavelength of 172 nm induced more network Si–O and Si–H bonds and more densification than the curing step with a broadband lamp with wavelengths higher than 200 nm. As a consequence, the dielectric constant of the narrow band cured film was slightly higher, but Youngs modulus and hardness were much improved. Electrical characterization showed good breakdown voltages and a more than sufficient time dependent dielectric breakdown reliability. The broadband lamp was then used to form thicker films which retained very well the characteristics of the thin films.


international conference on solid state and integrated circuits technology | 2006

k

Mikhail R. Baklanov; Adam Urbanowicz; Gert Mannaert; S. Vanhaelemeersch

Degradation of porous low dielectric constant materials during their exposure in etch and strip plasmas is analyzed from point of view of surface chemistry and recombination of active radicals. Although the degree of damage during the etching can be significantly reduced, the damage in O2 and H2 based strip/cleaning plasma are more challenging. The plasma damage mechanisms are the main subject of this discussion. It is demonstrated why the degree of damage can be reduced using He and H2 based plasmas at elevated processing temperature

Collaboration


Dive into the Adam Urbanowicz's collaboration.

Top Co-Authors

Avatar

Mikhail R. Baklanov

North China University of Technology

View shared research outputs
Top Co-Authors

Avatar

Denis Shamiryan

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Patrick Verdonck

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

M.R. Baklanov

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Els Van Besien

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Herbert Struyf

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge