Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ahmed Gharbi is active.

Publication


Featured researches published by Ahmed Gharbi.


Journal of Micro-nanolithography Mems and Moems | 2013

Scaling-down lithographic dimensions with block-copolymer materials: 10-nm-sized features with poly(styrene)-block-poly(methylmethacrylate)

Xavier Chevalier; Celia Nicolet; Raluca Tiron; Ahmed Gharbi; Maxime Argoud; Jonathan Pradelles; M. Delalande; G. Cunge; Guillaume Fleury; Georges Hadziioannou; Christophe Navarro

Abstract. Poly(styrene)-block-poly(methylmethacrylate) (PS-b-PMMA) block-copolymers (BCP) systems synthesized on an industrial scale and satisfying microelectronic’s requirements for metallic contents specifications are studied in terms of integration capabilities for lithographic applications. We demonstrate in particular that this kind of polymer can efficiently achieve periodic features close to 10 nm. These thin films can be transferred in various substrates through dry-etching techniques. The self-assembly optimization for each polymer is first performed on freesurface, leading to interesting properties, and the changes in self-assembly rules for low molecular-weight polymers are investigated and highlighted through different graphoepitaxy approaches. The improvements in self-assembly capabilities toward low periodic polymers, as well as the broad range of achievable feature sizes, make the PS-b-PMMA system very attractive for lithographic CMOS applications. We conclude by showing that high-χ polymer materials developed in Arkema’s laboratories can be efficiently used to reduce the pattern’s size beyond the ones of PS-b-PMMA based BCP’s capabilities.


Japanese Journal of Applied Physics | 2014

Contact hole shrink by directed self-assembly: Process integration and stability monitored on 300 mm pilot line

Isabelle Servin; Raluca Tiron; Ahmed Gharbi; Maxime Argoud; Karine Jullian; G. Chamiot-Maitral; Patricia Pimenta Barros; Xavier Chevalier; Jerome Belledent; Xavier Bossy; Sylvain Moulis; Christophe Navarro; G. Cunge; Sebastien Barnola; Masaya Asai; Charles Pieczulewski

The semiconductor devices dimensions continue to shrink to keep up with the ITRS roadmap. Due to delay and extensive cost of EUV for 14 nm technology node and beyond, the directed self assembly (DSA) process has great potential for extending optical lithography, and enables to reduce the critical dimension (CD) and pitch of the final feature. After the recent implementation of DSA processes in 300 mm clean room environment, it is now time to move to the forward maturity step and demonstrate process stability through time. This study investigates the potential of DSA for contact hole shrink patterning using poly(styrene-block-methyl methacrylate) (PS-b-PMMA) di-block copolymers to target contact holes CD down to 15 nm. Based on the 300 mm pilot line available at LETI, the DSA manufacturability is considered through different criteria to achieve high resolution and pattern density multiplication, at a low cost in fully 300 mm wafers production line. The DSA process flow performance based on grapho-epitaxy approach is controlled after each step to follow the thicknesses of random and BCP materials supplied by ARKEMA. Moreover, the natural period of block copolymers and CD uniformity on free surface are also measured and defectivity is evaluated after etch transfer by image treatment. The thermal budget of DSA of both random and block copolymers have been evaluated to define optimum conditions. The paper has shown that UV exposure prior to PMMA wet development improves PMMA degradation to enable complete removal by wet development in acetic acid. DSA process for contact hole shrink patterning has shown final contact holes with an average CD of 21 nm and intra-wafer CD uniformity of 1.1 nm with an open yield of more than 99.9%.


Proceedings of SPIE | 2014

Improvements of self-assembly properties via homopolymer addition or block-copolymer blends

Xavier Chevalier; Celia Nicolet; R. Tiron; Ahmed Gharbi; Maxime Argoud; Christophe Couderc; Guillaume Fleury; Georges Hadziioannou; I. Iliopoulos; Christophe Navarro

The properties of cylindrical poly(styrene-b-methylmethacrylate) (PS-b-PMMA) BCPs self-assembly in thinfilms are studied when the pure BCPs are blended either with a homopolymer or with another cylindrical PS-b-PMMA based BCP. For both of these approaches, we show that the period of the self-assembled features can be easily tuned and controlled, and that the final material presents interesting characteristics, such as the possibility to achieve thicker defects-free films, as compared to pure block-copolymers having the same period. Moreover, a statistical defectivity study based on a Delaunay triangulation and Voronoi analysis of the self-assemblies made with the different blends is described, and prove that despite their high value of polydispersity index, these blends exhibit also improved selfassembly properties (bigger monocrystalline arrangements and enhanced kinetics of defects annihilation) as compared to pure and monodisperse block-copolymers. Finally, the behavior of the blends is also compared to the ones their pure counter-part in templated approach like the contact-hole shrink to evaluate their respective process-window and response toward this physical constrain for lithographic applications.


Journal of Micro-nanolithography Mems and Moems | 2015

Contact holes patterning by directed self-assembly of block copolymers: process window study

Ahmed Gharbi; Raluca Tiron; Maxime Argoud; Xavier Chevalier; Patricia Pimenta Barros; Celia Nicolet; Christophe Navarro

Abstract. Contact hole (CH) patterning by directed self-assembly (DSA) of polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is extensively studied. Based on statistical analysis of defectivity and CD measurements after DSA, a process window (PW) for CH shrink is experimentally determined as a function of guiding pattern dimensions and BCP molecular weights corresponding to BCP natural periods. This PW permits to define the suitable BCP molecular weight and the best guiding CD ranges required to achieve a desired DSA hole CD within a specific tolerance. As an example, for a DSA hole CD targeted at 19.5 nm with 10% tolerance, circular guiding patterns of 52 nm CD with 20% guiding CD latitude are needed using a 35-nm-natural-period cylindrical BCP. Furthermore, it is shown that the CH shrink PW is also dependent on the guiding pattern density and the DSA process conditions such as the self-assembly annealing and the spin coating conditions. The study also highlights an interesting property of commensurability between guiding pattern dimensions and BCP’s natural period that governs the DSA CH patterning for both CH shrink and CH doubling configurations. This permits one to predict the guiding pattern dimensions needed for CH patterning by DSA using a given BCP of known natural period.


30th European Mask and Lithography Conference | 2014

Compact model experimental validation for grapho-epitaxy hole processes and its impact in mask making tolerances

Germain Fenger; J. Andres Torres; Yuansheng Ma; Yuri Granik; Polina Krasnova; Antoine Fouquet; Jerome Belledent; Ahmed Gharbi; Raluca Tiron

There has been significant research in the area of modeling self-assembling molecular systems. Directed self-assembly (DSA) has proven to be a promising candidate for cost reduction of processes which use double patterning and an enabler of new technology nodes. Self-consistent field theory and Monte Carlo simulators have the capability to probe and explore the mechanisms driving the different phases of a diblock copolymer system. While such methods are appropriate to study the nature of the self-assembly process, they are computationally expensive and they cannot be used to perform mask synthesis operations nor full chip verification. In this case we focus our effort in establishing the minimum set of conditions that a compact model for the manufacture of contact holes using a grapho epitaxy process for a PS-b-PMMA diblock copolymer system needs. The compact model’s main objectives are to find the guiding pattern that produces the lowest possible placement error, as well as verifying that the intended target structures are present after processing. Given that masks are not perfect, and lithographic process variations are not negligible, it is necessary to understand the mask requirements and the types of Optical Proximity Correction techniques that will be used to build guiding patterns. This paper explores the guiding pattern conditions under which proper assembly is achieved, and how the compact model formulation is able to determine placement of reliably assembling structures as well as identification of the guiding patterns which lead to improper assembly. The research leading to these results has been performed in the frame of the industrial collaborative consortium IDeAL focused on the development of Directed Self-assembly technique by block copolymers.


Journal of Micro-nanolithography Mems and Moems | 2016

Process highlights to enhance directed self-assembly contact patterning performances

Ahmed Gharbi; Raluca Tiron; Maxime Argoud; G. Chamiot-Maitral; Antoine Fouquet; Céline Lapeyre; Patricia Pimenta Barros; Florian Delachat; S. Bos; Shayma Bouanani; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Laurent Pain

Abstract. We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35  nm), good DSA performances are achieved: CDU-3σ=1.2  nm, PE-3σ=1.2  nm, and HOY=100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.


Journal of Micro-nanolithography Mems and Moems | 2016

Placement error in directed self-assembly of block copolymers for contact hole application

Shayma Bouanani; Raluca Tiron; S. Bos; Ahmed Gharbi; Patricia Pimenta-Barros; J. Hazart; F. Robert; Céline Lapeyre; Alain Ostrovsky; Cedric Monget

Abstract. Directed self-assembly (DSA) of block copolymers has shown interesting results for contact hole application, as a vertical interconnection access for CMOS sub-10 nm technology. The control of critical dimension uniformity (CDU), defectivity, and placement error (PE) is challenging and depends on multiple processes and material parameters. This paper reports the work done using the 300-mm pilot line available in materials to integrate the DSA process on contact and via level patterning. In the first part, a reliable methodology for PE measurement is defined. By tuning intrinsic edge detection parameters on standard reference images, the working window is determined. The methodology is then implemented to analyze the experimental data. The impact of the planarization process on PE and the importance of PE as a complement of CDU and hole open yield for process window determination are discussed.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2015

PMMA removal options by wet development in PS-b-PMMA block copolymer for nanolithographic mask fabrication

Ahmed Gharbi; Raluca Tiron; Patricia Pimenta Barros; Maxime Argoud; Isabelle Servin; Xavier Chevalier; Celia Nicolet; Christophe Navarro

In the integration flow of directed self-assembly with block copolymers (BCP), the selective removal of one phase of the polymer with respect to the other one is an important step. Different strategies can be implemented such as wet only, exposure, and wet or dry only, each one presenting its highlights and limitations. In this paper, the authors report a wet etching technique allowing an efficient removal of polymethylmethacrylate (PMMA) in PMMA cylinder-forming polystyrene-b-polymethylmethacrylate (PS-b-PMMA) BCP in order to generate contact holes. They confirm that exposure with ultraviolet (UV) light or electron beam is required for PMMA degradation before its complete removal by wet development in acetic acid. A dose-to-clear of 300 mJ/cm2 and 200 μC/cm2 using UV exposure and e-beam exposure, respectively, is demonstrated for a thin BCP film coated on a silicon substrate. Complementary characterizations are employed: by ellipsometry to monitor the BCP thickness, by scanning electron and atomic force ...


Proceedings of SPIE | 2017

Advanced surface affinity control for DSA contact hole shrink applications

Florian Delachat; Ahmed Gharbi; Patricia Pimenta Barros; Maxime Argoud; Céline Lapeyre; Sandra Bos; Jérôme Hazart; Laurent Pain; Cedric Monget; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Raluca Tiron

DSA patterning is a promising solution for advanced lithography as a complementary technique to standard and future lithographic technologies. In this work, we focused on DSA grapho-epitaxy process-flow dedicated for contact hole applications using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers. We investigated the impact on the DSA performances of the surface affinity of a guiding pattern design by ArF immersion lithography. The objective was to control and reduce the polymer residue at the bottom of the guiding pattern cavities since it can lead to lower a DSA-related defectivity after subsequent transfer of the DSA pattern. For this purpose, the DSA performances were evaluated as a function of the template surface affinity properties. The surface affinities were customized to enhance DSA performances for a PS-b-PMMA block copolymer (intrinsic period 35nm, cylindrical morphology) by monitoring three main key parameters: the hole open yield (HOY), the critical dimension uniformity (CDU-3σ) and the placement error (PE-3σ). Scanning transmission electron microscopy (STEM) was conjointly carried out on the optimized wafers to characterize the residual polymer thickness after PMMA removal. The best DSA process performances (i.e., hole open yield: 100%, CDU-3σ: 1.3nm and PE-3σ: 1.3nm) were achieved with a thickness polymer residue of 7 nm. In addition, the DSA-related defectivity investigation performed by review-SEM enabled us to achieve a dense (pitch 120nm) contact area superior to 0.01mm2 free of DSA-related defects. This result represents more than 6x105 SEM-inspected valid contacts, attesting the progress achieved over the last years and witnessing the maturity of the DSA in the case of contact holes shrink application.


Proceedings of SPIE | 2016

Investigation of coat-develop track system for placement error of contact hole shrink process

Masahiko Harumoto; Harold Stokes; Yuji Tanaka; Koji Kaneyama; Charles Pieczulewski; Masaya Asai; Isabelle Servin; Maxime Argoud; Ahmed Gharbi; Céline Lapeyre; Raluca Tiron; Cedric Monget

Directed Self-Assembly (DSA) is a well-known candidate for next generation sub-15nm half-pitch lithography. [1-2] DSA processes on 300mm wafers have been demonstrated for several years, and have given a strong impression due to finer pattern results. [3-4] On t he other hand, specific issues with DSA processes have begun to be clear as a result of these recent challenges. [5-6] Pattern placement error, which means the pattern shift after DSA fabrication, is recognized as one of these typical issues. Coat-Develop Track systems contribute to the DSA pattern fabrication and also influence the DSA pattern performance.[4] In this study, the placement error was investigated using a simple contact-hole pattern and subsequent contact-hole shrink process implemented on the SOKUDO DUO track. Thus, we will show the placement error of contact-hole shrink using a DSA process and discuss the difference between DSA and other shrink methods.

Collaboration


Dive into the Ahmed Gharbi's collaboration.

Top Co-Authors

Avatar

Raluca Tiron

Centre national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge