Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Florian Delachat is active.

Publication


Featured researches published by Florian Delachat.


Journal of Micro-nanolithography Mems and Moems | 2016

Process highlights to enhance directed self-assembly contact patterning performances

Ahmed Gharbi; Raluca Tiron; Maxime Argoud; G. Chamiot-Maitral; Antoine Fouquet; Céline Lapeyre; Patricia Pimenta Barros; Florian Delachat; S. Bos; Shayma Bouanani; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Laurent Pain

Abstract. We focus on the directed self-assembly (DSA) for contact hole (CH) patterning application using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers (BCPs). By employing the DSA planarization process, we highlight the DSA advantages for CH shrink, repair, and multiplication, which are extremely needed to push forward the limits of currently used lithography. Meanwhile, we overcome the issue of pattern density-related defects that are encountered with the commonly used graphoepitaxy process flow. Our study also aims to evaluate the DSA performances as functions of material properties and process conditions by monitoring main key manufacturing process parameters: CD uniformity (CDU), placement error (PE), and defectivity [hole open yield (HOY)]. Concerning process, it is shown that the control of surface affinity and the optimization of self-assembly annealing conditions enable significant enhancement of CDU and PE. Regarding material properties, we show that the best BCP composition for CH patterning should be set at 70/30 of PS/PMMA total weight ratio. Moreover, it is found that increasing the PS homopolymer content from 0.2% to 1% has no impact on DSA performances. Using a C35 BCP (cylinder-forming BCP of natural period L0=35  nm), good DSA performances are achieved: CDU-3σ=1.2  nm, PE-3σ=1.2  nm, and HOY=100%. Finally, the stability of DSA process is also demonstrated through the process follow-up on both patterned and unpatterned surfaces over several weeks.


Journal of Vacuum Science & Technology. B. Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena | 2014

Determination of spot size and acid diffusion length in positive chemically amplified resist for e-beam lithography at 100 and 5 kV

Florian Delachat; Christophe Constancias; Jérôme Reche; Bernard Dalzotto; Laurent Pain; Boris Le Drogoff; Mohamed Chaker; J. Margot

An experimental method to determine the beam spot size and the acid diffusion length of a positive chemically amplified electron-beam resist (p-CAR) is proposed. This method is applied to a reference p-CAR with a Vistec VB6 electron beam at 100 kV and with a MAPPER tool at 5 kV. Beam spot size characterization is based on the width measurement of a single line exposure. This procedure is applied to two specific dose ranges. In the first one, the chemical mechanism occurring in the exposed resist is dominated by acid catalytic deprotection of a partially protected polymer (standard process). In the second dose range, the chemistry is governed by the cross-linking mechanism due to the intentional overdose of the p-CAR, leading to a polarity inversion. The authors assume that comparing the results obtained with the standard and the cross-linked p-CAR enables the determination of the acid diffusion length of the p-CAR process. This hypothesis was verified with measurements performed with a nonchemically ampli...


ACS Nano | 2015

Fabrication of Buckling Free Ultrathin Silicon Membranes by Direct Bonding with Thermal Difference

Florian Delachat; Christophe Constancias; Frank Fournel; Christophe Morales; Boris Le Drogoff; Mohamed Chaker; J. Margot

An innovative method to fabricate large area (up to several squared millimeters) ultrathin (100 nm) monocrystalline silicon (Si) membranes is described. This process is based on the direct bonding of a silicon-on-insulator wafer with a preperforated silicon wafer. The stress generated by the thermal difference applied during the bonding process is exploited to produce buckling free silicon nanomembranes of large areas. The thermal differences required to achieve these membranes (≥1 mm(2)) are estimated by analytical calculations. An experimental study of the stress achievable by direct bonding through two specific surface preparations (hydrophobic or hydrophilic) is reported. Buckling free silicon nanomembranes secured on a 2 × 2 cm(2) frame with lateral dimensions up to 5 × 5 mm(2) are successfully fabricated using the optimized direct bonding process. The stress estimated by theoretical analysis is confirmed by Raman measurements, while the flatness of the nanomembranes is demonstrated by optical interferometry. The successful fabrications of high resolution (50 nm half pitch) tungsten gratings on the silicon nanomembranes and of focused ion beam milling nanostructures show the promising potential of the Si membranes for X-ray optics and for the emerging nanosensor market.


Nanotechnology | 2016

Fabrication of high aspect ratio tungsten nanostructures on ultrathin c-Si membranes for extreme UV applications

Florian Delachat; B. Le Drogoff; Christophe Constancias; Sebastien Delprat; E Gautier; Mohamed Chaker; J. Margot

In this work, we demonstrate a full process for fabricating high aspect ratio diffraction optics for extreme ultraviolet lithography. The transmissive optics consists in nanometer scale tungsten patterns standing on flat, ultrathin (100 nm) and highly transparent (>85% at 13.5 nm) silicon membranes (diameter of 1 mm). These tungsten patterns were achieved using an innovative pseudo-Bosch etching process based on an inductively coupled plasma ignited in a mixture of SF6 and C4F8. Circular ultra-thin Si membranes were fabricated through a state-of-the-art method using direct-bonding with thermal difference. The silicon membranes were sputter-coated with a few hundred nanometers (100-300 nm) of stress-controlled tungsten and a very thin layer of chromium. Nanoscale features were written in a thin resist layer by electron beam lithography and transferred onto tungsten by plasma etching of both the chromium hard mask and the tungsten layer. This etching process results in highly anisotropic tungsten features at room temperature. The homogeneity and the aspect ratio of the advanced pattern transfer on the membranes were characterized with scanning electron microscopy after focus ion beam milling. An aspect ratio of about 6 for 35 nm size pattern is successfully obtained on a 1 mm diameter 100 nm thick Si membrane. The whole fabrication process is fully compatible with standard industrial semiconductor technology.


Proceedings of SPIE | 2017

Advanced surface affinity control for DSA contact hole shrink applications

Florian Delachat; Ahmed Gharbi; Patricia Pimenta Barros; Maxime Argoud; Céline Lapeyre; Sandra Bos; Jérôme Hazart; Laurent Pain; Cedric Monget; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Raluca Tiron

DSA patterning is a promising solution for advanced lithography as a complementary technique to standard and future lithographic technologies. In this work, we focused on DSA grapho-epitaxy process-flow dedicated for contact hole applications using polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymers. We investigated the impact on the DSA performances of the surface affinity of a guiding pattern design by ArF immersion lithography. The objective was to control and reduce the polymer residue at the bottom of the guiding pattern cavities since it can lead to lower a DSA-related defectivity after subsequent transfer of the DSA pattern. For this purpose, the DSA performances were evaluated as a function of the template surface affinity properties. The surface affinities were customized to enhance DSA performances for a PS-b-PMMA block copolymer (intrinsic period 35nm, cylindrical morphology) by monitoring three main key parameters: the hole open yield (HOY), the critical dimension uniformity (CDU-3σ) and the placement error (PE-3σ). Scanning transmission electron microscopy (STEM) was conjointly carried out on the optimized wafers to characterize the residual polymer thickness after PMMA removal. The best DSA process performances (i.e., hole open yield: 100%, CDU-3σ: 1.3nm and PE-3σ: 1.3nm) were achieved with a thickness polymer residue of 7 nm. In addition, the DSA-related defectivity investigation performed by review-SEM enabled us to achieve a dense (pitch 120nm) contact area superior to 0.01mm2 free of DSA-related defects. This result represents more than 6x105 SEM-inspected valid contacts, attesting the progress achieved over the last years and witnessing the maturity of the DSA in the case of contact holes shrink application.


Nanoscale | 2018

An embedded neutral layer for advanced surface affinity control in grapho-epitaxy directed self-assembly

Florian Delachat; Ahmed Gharbi; Patricia Pimenta-Barros; Antoine Fouquet; Guillaume Claveau; Nicolas Posseme; Laurent Pain; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq; Raluca Tiron

Advanced surface affinity control for grapho-epitaxy directed self-assembly (DSA) patterning is essential for providing reliable DSA-based solutions for the development of semiconductor patterning. Independent control of surface affinity between the bottom and the sidewalls of a topographical guiding structure was achieved by embedding an ultrathin layer in the guiding template stack. The implementation of an embedded layer with tunable surface properties for DSA grapho-epitaxy was evaluated and optimized on 300 mm wafers by critical dimension SEM characterization. It was demonstrated that a thin protective layer, placed between the hard mask guiding template and the embedded layer, allows the preservation of the surface properties of the embedded layer during guiding template etching. The DSA performances of this novel grapho-epitaxy integration, using a topographical template patterned with 193 nm immersion lithography, were evaluated by monitoring the success rate and the critical dimension uniformity of the shrunk contacts. FIB-STEM analyses were further carried out to analyze the residual polymer thickness on the resulting contacts. This new integration leads to the control of the polymer residual thickness (a few nanometers) and uniformity (inferior to 1 nm) at the bottom of the guiding template which will facilitate the subsequent DSA pattern transfer.


Emerging Patterning Technologies 2018 | 2018

Inorganic guiding template implementation for DSA contact hole shrink process (Conference Presentation)

Ahmed Gharbi; Florian Delachat; Patricia Pimenta-Barros; G. Chamiot-Maitral; Maxime Argoud; Céline Lapeyre; Laurent Pain; Raluca Tiron; Christophe Navarro; Celia Nicolet; Ian Cayrefourcq

CH (Contact hole) patterning by DSA (Directed Self-Assembly) of BCP (Block Copolymer) is still attracting interest from the semiconductor industry for its CH repair and pitch multiplication advantages in sub-7nm nodes. For several years, extensive studies on DSA CH patterning have been carried out and significant achievements have been reported in materials and process optimization, CMOS integration and design compatibility and advanced characterization [1-4]. According to these studies, if a common agreement was clearly made for the use of PS-b-PMMA material as a potential candidate for DSA CH patterning integration in advanced nodes, the associated guiding template material was not yet selected and is still under investigation. Whereas the most reported guiding template materials for DSA PS-b-PMMA CH patterning are organic-based (resist or organic hard mask), we propose in this work to investigate a DSA process based on inorganic template material (silicon oxide based). Indeed, this latter offers some advantages over organic template: better surface affinity control, higher thermal stability during BCP self-assembly annealing, easier 3D-morphology imaging of DSA patterns and the possibility of wafer rework after the DSA step. The inorganic template based DSA process was first optimized using the planarization approach [5]. We demonstrated that the silicon oxide thickness should be properly adjusted to allow a good control of the BCP thickness over different guiding template densities. Afterwards, we compared the DSA performances (critical dimension: CD; CD uniformity: CDU, contact misalignment and defectivity) between both inorganic and organic template approaches. Equivalent results were obtained as shown in Figure 1. Finally, we demonstrated that inorganic template allows the rework of DSA wafers: similar CD and CDU for both guiding and DSA patterns were obtained after 3 cycles of rework (Figure 2).


Advances in Patterning Materials and Processes XXXV | 2018

Evaluation of anti-sticking layers performances for 200mm wafer scale smart NILTM process through surface and defectivity characterizations

Florian Delachat; Jean-Côme Phillipe; Vincent Larrey; Frank Fournel; Sandra Bos; Hubert Teyssedre; Xavier Chevalier; Celia Nicolet; Christophe Navarro; Ian Cayrefourcq

In this work, an evaluation of various ASL processes for 200 mm wafer scale in the HERCULES® NIL equipment platform available at the CEA-Leti through the INSPIRE program is reported. The surface and adherence energies were correlated to the AFM and defectivity results in order to select the most promising ASL process for high resolution etch mask applications. The ASL performances of the selected process were evaluated by multiple working stamp fabrication using unpatterned and patterned masters though defectivity monitoring on optical based-inspection tools. Optical and SEM defect reviews were systematically performed. Multiple working stamps fabrication without degradation of the master defectivity was witnessed. This evaluation enabled to benchmark several ASL solutions based on the grafted technology develop by ARKEMA in order to reduce and optimize the soft stamp defectivity prior to its replication and therefore considerably reduce the final imprint defectivity for the Smart NIL process.


Advances in Patterning Materials and Processes XXXV | 2018

Characterizing the internal structure of BCP filled contact holes with critical dimension small angle x-ray scattering (Conference Presentation)

Daniel F. Sunday; Florian Delachat; Ahmed Gharbi; Guillaume Freychet; Raluca Tiron; R. Joseph Kline

Densely patterned contact holes form a key component of integrated circuits, but there are significant challenges to patterning holes with a sub 10-nm radius. The directed self-assembly (DSA) of block copolymers offers a potential solution, where a larger hole is initially patterned and a cylinder forming block copolymer (BCP) is assembled inside. The radius of the inner BCP cylinder can now be used to template the hole radius. It can be particularly challenging to characterize the internal structure of the DSA patterned contact hole, particularly for features such as the residual layer, which may adversely impact the etching process. The high aspect ratio of these features makes top-down characterization nearly impossible, forcing the use of challenging cross-section based approaches. Critical Dimension small angle X-ray scattering (CDSAXS) offers the possibility of characterizing the internal structure of DSA patterned contact holes. CDSAXS is a variable angle scattering technique which combines measurements from different sample angles to reconstruct the three-dimensional structure of the sample. In this case the scattering yields a two-dimensional pattern, where the off-axis peaks contain additional information about the structure. A model based inverse analysis is then used to fit the scattering and evaluate the structure of the measured target. Contact holes were prepared with a variety of radii and surface treatments in order to evaluate which conditions resulted in optimal assembly of the BCP inside the template. The results demonstrate a correlation between hole radius, surface treatment and residual layer thickness.


34th European Mask and Lithography Conference | 2018

Application of rules-based corrections for wafer scale nanoimprint processes and evaluation of predictive models

Hubert Teyssedre; Patrick Quemere; Jacky Chartoire; Loïc Perraud; Florian Delachat; Frédéric Boudaa; Michael May

In this paper the bias table models for the wafer scale SmartNIL™ technology are addressed and validated using complete Scanning Electron Microscopy (SEM) characterizations and polynomial interpolation functions. Like the other nanoimprint lithography (NIL) technics, this replication technology is known to induce Critical Dimension (CD) variations between the master and the imprint, due to polymer shrinkage, soft stamp deformation or thermal expansion. The bias between the former and final object follows peculiar rules which are specific to this process. To emphasis these singularities, Critical Dimension (CD) uniformity analyses were analyzed onto 200 mm wafers imprinted with the HERCULES® NIL equipment platform. Dedicated masters were manufactured to capture the process signatures: horizontal and vertical line arrays, local densities ranging from 0.1 to 0.9 and minimum CD of 250 nm. The silicon masters were manufactured with 248 optical lithography and dry etching and treated with an anti-sticking layer from Arkema. CD measurements were made for the master and the replicates on 48 well selected features to build interpolations. The bias table, modelled by polynomial functions with a degree of 5 for the density and a degree of 3 for the CD, are compared between horizontal and vertical features, and between the center and the edge of the wafers. Finally the focus is made on the validation of the interpolations by comparing the computed bias and the experimental data.

Collaboration


Dive into the Florian Delachat's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Raluca Tiron

Centre national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar

J. Margot

Université de Montréal

View shared research outputs
Top Co-Authors

Avatar

Mohamed Chaker

Institut national de la recherche scientifique

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge