Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Alden Acheta is active.

Publication


Featured researches published by Alden Acheta.


Advances in resist technology and processing. Conference | 2005

The effects of chemical gradients and photoresist composition on lithographically generated line edge roughness

Timothy B. Michaelson; Adam R. Pawloski; Alden Acheta; Yukio Nishimura; C. Grant Willson

Previous work has demonstrated the dependence of photoresist line edge roughness (LER) on the image-log-slope of the aerial image over a wide range of conditions; however, this relationship does not describe the influence of other factors such as photoresist composition or processing conditions on LER. This work introduces the concept of chemical gradients in the photoresist film rather than gradients in aerial image intensity as being a governing factor in the formation of photoresist LER. This concept is used to explain how differences in acid and base concentration in the photoresist lead directly to differences in observed LER. Numerous photoresist formulations were made over a wide range of compositions using 193 nanometer photoresist polymers as the basis. Experimental results coupled with results from simulation show that increasing the gradient of photoacid and hence increasing the gradient of protected polymer and the overall chemical contrast of the system reduces printed LER.


Advances in Resist Technology and Processing XXI | 2004

Characterization of line-edge roughness in photoresist using an image fading technique

Adam R. Pawloski; Alden Acheta; Ivan Lalovic; Bruno La Fontaine; Harry J. Levinson

A technique was developed to investigate the role of aerial image contrast and image-log-slope (ILS) on the resulting magnitude of line edge roughness (LER) in resist with the goal of determining if the minimization of LER in current state-of-the-art, chemically amplified resist materials was limited by the quality of the projected aerial image or the materials and processing of the resist. The process of image fading was employed as the vehicle for controlled aerial image degradation. By reducing the quality of the aerial image through fading, the image contrast and ILS were decreased in a well-controlled and predictable manner, resulting in increased magnitude of LER. The link between experiment and simulation was made possible by the identification of the iso-fading condition, which in analogy to the iso-focal dose, results in a unique exposure dose for which the critical dimension (CD) of a resist feature does not change with increasing levels of fading. At the iso-fading condition, experimentally measured values for LER were analyzed as a function of the contrast and ILS of the aerial image used for patterning. It was determined that contrast was a poor predictor of the magnitude of LER though variations in feature type or illumination. The change in LER as a function of the ILS, however, produced a common basis for the comparison of LER through variations in line width, pitch, fading, increased background level of light, and illumination conditions. To include the effects of exposure dose on the resulting LER of resist features, the experimentally measured analog of the ILS, the resist edge-log-slope (RELS), was also used to produce a common curve for the evaluation of resist LER. Although overexposure can be used to further increase the ILS of the aerial image at the edge of the printed feature, the magnitude of 3σ LER in PAR735 resist appeared to be limited to a value of approximately 5.0nm in the limit of infinite RELS. This suggested that while the aerial image plays a strong role on determining the magnitude of LER during resist printing, there also exists a fundamental limitation to LER from the resist materials that cannot be improved by further increase in the quality of the aerial image.


Journal of Micro-nanolithography Mems and Moems | 2006

Line edge roughness and intrinsic bias for two methacrylate polymer resist systems

Adam R. Pawloski; Alden Acheta; Harry J. Levinson; Timothy B. Michaelson; Andrew Thomas Jamieson; Yukio Nishimura; C. Grant Willson

Line edge roughness (LER) and intrinsic bias of 193-nm photoresist based on two methacrylate polymers are evaluated over a range of base concentration. Roughness is characterized as a function of the image log slope of the aerial image, the gradient in photoacid concentration, and the gradient in polymer protecting groups. Use of the polymer protection gradient as a characteristic roughness metric accounts for the effects of base concentration. Results demonstrate that a methacrylate terpolymer exhibits an advantage over the copolymer resist by achieving lower roughness at smaller values for the polymer protection gradient, resulting in lower LER for patterning. Intrinsic bias is found to be a function of the concentration of base. Process window analysis demonstrates that a greater depth of focus can be achieved for resists with low intrinsic bias. However, a tradeoff in depth of focus with LER is found. Spectral analysis indicates resists with greater intrinsic bias exhibit greater correlation lengths. Systems with greater intrinsic bias demonstrate lesser roughness for patterned features, with a minimum roughness achieved at maximum intrinsic bias. Kinetics of deprotection are modeled to calculate the chemical contrast of each resist. Resists exhibiting the greatest chemical contrast are identified as materials that generate the least roughness.


Proceedings of SPIE | 2007

Line-edge roughness in 193-nm resists: lithographic aspects and etch transfer

Thomas Wallow; Alden Acheta; Yuansheng Ma; Adam Pawloski; Scott Bell; Brandon Ward; Cyrus E. Tabery; Bruno La Fontaine; Ryoung-han Kim; Sarah N. McGowan; Harry J. Levinson

We describe methods to determine transfer functions for line edge roughness (LER) from the photoresist pattern through the etch process into the underlying substrate. Both image fading techniques and more conventional focus-exposure matrix methods may be employed to determine the dependence of photoresist LER on the image-log-slope (ILS) or resist-edge-log-slope (RELS) of the aerial image. Post-etch LER measurements in polysilicon are similarly correlated to the ILS used to pattern the resist. From these two relationships, a transfer function may be derived to quantify the magnitude of LER that transfers into the polysilicon underlayer from the photoresist.1 A second transfer function may be derived from power spectral density (PSD) analysis of LER. This approach is desirable based on observations of pronounced etch smoothing of roughness in specific spatial frequency ranges. Smoothing functions and signal averaging of large numbers of line edges are required to partially compensate for large uncertainties in fast-Fourier transform derived PSDs of single line edges. An alternative and promising approach is to derive transfer functions from PSDs estimated using autoregressive algorithms.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

The transfer of photoresist LER through etch

Adam R. Pawloski; Alden Acheta; Scott A. Bell; Bruno La Fontaine; Tom Wallow; Harry J. Levinson

A method is presented to determine a transfer function for line edge roughness (LER) from the photoresist pattern through the etch process into the underlying material, such as a polysilicon gate. The image fading technique was employed to determine the dependence of photoresist LER on the image-log-slope (ILS) of the aerial image. From this initial condition in resist, LER after the etch process was measured in polysilicon and related to the ILS used to pattern the resist. From these two relationships, a transfer function could be derived to quantify the magnitude of LER that transfers into the polysilicon under layer from the photoresist. A gate layer type film stack and a 193nm resist system were employed. Results demonstrated that photoresist LER did transfer through the etch process. Increasing the resist LER increased the post-etch LER in polysilicon, and accordingly, minimizing resist LER minimized polysilicon LER. The etch process can reduce the magnitude of roughness in polysilicon over a range of mid and low spatial frequencies, however the extent of the roughness reduction diminishes as the resist LER reaches its minimum at large values of the ILS. In addition, resist trim rates during etch were apparently increased when LER of the resist was large. These results demonstrate that post-etch LER in polysilicon may be limited by the minimum LER achievable in resist, despite the occurrence of apparent smoothing mechanisms through the etch process.


Advances in Resist Technology and Processing XXI | 2004

Understanding the role of base quenchers in photoresists

Timothy B. Michaelson; Andrew Thomas Jamieson; Adam R. Pawloski; Jeffrey D. Byers; Alden Acheta; C. G. Willson

As critical dimensions in microlithography become ever smaller and the importance of line edge roughness becomes more pronounced, it is becoming increasingly important to gain a fundamental understanding of how the chemical composition of modern photoresists influences resist performance. Modern resists contain four basic components: polymer, photoacid generator, dissolution inhibitor, and base quencher. Of these four components, the one that is least understood is the base quencher. This paper examines the influence of base additives on line edge roughness, contrast, photospeed, and isofocal critical dimension (CD). A mathematical model describing the tradeoff between contrast and photospeed is developed, line edge roughness values for different base types and loadings are reported, and isofocal CD is shown for various photoacid types as well as for different base types and loadings.


Optical Microlithography XVI | 2003

Study of the influence of substrate topography on the focusing performance of advanced lithography scanners

Bruno La Fontaine; Jan Hauschild; Mircea Dusa; Alden Acheta; Eric M. Apelgren; Marc Boonman; Jouke Krist; Ashok M. Khathuria; Harry J. Levinson; Anita Fumar-Pici; Marco Pieters

A Phase-Grating Focus Monitor (PGFM) is used to assess the focus control of a state-of-the-art lithography scanner (TWINSCAN AT:1100) over substrate topography. The starting wafer flatness quality is found to be critical in minimizing the overall defocus distribution. In fact, on nearly all wafers, the most significant contributor to defocus across the wafer was the small-scale topography. Results obtained over programmed topography, created by etching various patterns into silicon, are found to agree well with the simulated defocus behavior based on the measurement of the wafer surface obtained on the scanner metrology stage. Finally, we report on preliminary focus control results over realistic device-type substrate topography, involving thin-film and polish effects.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Post-etch LER performance of novel surface conditioner solutions

P. Zhang; M. Jaramillo; S. Cassel; Tom Wallow; Alden Acheta; A. R. Pawloski; S. Bell; Ryoung-han Kim

As line edge roughness (LER) becomes one of the critical lithography challenges, there is a growing interest in applying surface conditioner solutions during post-develop process to reduce LER. In this paper, we evaluated the combined effect of surface conditioners and hard bake on the post-develop LER. There is about 1nm LER reduction, as well as a significant improvement on the common process window for LER. No negative impact on CD process window was observed with the new process. In addition, preliminary etch data showed that surface conditioners have no negative impact on pattern transfer through etch.


Optical Microlithography XVIII | 2005

RELAX: resolution enhancement by laser-spectrum adjusted exposure

Ivan Lalovic; Nigel R. Farrar; Kazuhiro Takahashi; Eric Kent; Daniel J. Colon; German E. Rylov; Alden Acheta; Koji Toyoda; Harry J. Levinson

In this work, we demonstrate a resolution enhancement technique for DUV lithography in which the light source spectrum is modified in order to improve the imaging performance of given device patterns. With this technique, termed RELAX, the imaging depth of focus (DOF) can be improved significantly for contact holes, and potentially line-space patterns. The improvement in the DOF comes at the expense of modest deterioration of other process performance metrics, such as exposure latitude and exposure bias, due to reduced image contrast at best focus. Compared to the FLEX-based techniques, RELAX allows a continuum of tunable spectral conditions without the drawback of multiple exposure passes, which is especially critical for step-and-scan lithography. Spectrum modification is accomplished by replacing the line narrowing and wavemeter modules of the excimer laser light source with RELAX-enabled modules. Direct wavefront modification of the laser output has been demonstrated to provide the optimum method for producing a double peak spectrum, which simulation has shown to produce the maximum DOF benefit. Results from imaging experiments of attenuated-PSM contact structures exposed using 248nm dipole illumination showed DOF improvements of up to 70% with a double peak separation of about 2pm. Lateral chromatic effects at this separation were negligible. These results agreed well with previous double exposure experiments1 and simulations of some of the design structures. The process improvements were obtained without a need for re-biasing of the mask structures, although a dose adjustment was required.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Analysis of focus errors in lithography using phase-shift monitors

Bruno La Fontaine; Mircea Dusa; Jouke Krist; Alden Acheta; Jongwook Kye; Harry J. Levinson; Carlo Cornelis Maria Luijten; Craig B. Sager; Jack J. Thomas; Judith van Praagh

We present here a procedure to characterize focus behavior on a first generation prototype 193-nm scanner using phase-shift focus monitors, which clearly identifies the influence of full field dynamic effects and that of the wafer topography and flatness. These results are used to correct the systematic errors due to incorrect tool set-up and show that proposed procedure has capability to identify focus errors and on this basis to construct a focus budget for all components: reticle, wafer, tool. We also present results using a new focus monitor based on phase gratings, which is more sensitive than the traditional phase-shift focus monitor.

Collaboration


Dive into the Alden Acheta's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Timothy B. Michaelson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge