Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Andrew R. Mikkelson is active.

Publication


Featured researches published by Andrew R. Mikkelson.


Journal of Vacuum Science & Technology B | 2007

Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance for extreme ultraviolet lithography

M. R. Sogard; Andrew R. Mikkelson; Madhura Nataraju; Kevin T. Turner; Roxann L. Engelstad

The successful implementation of extreme ultraviolet lithography (EUVL) requires the use of an electrostatic chuck to both support and flatten the mask during scanning exposure. The EUVL Mask and Chucking Standards, SEMI P37 and P40, specify the nonflatness of the mask frontside and backside, as well as the chucking surface, to be on the order of 50 nm peak-to-valley. Thus, characterizing and predicting the capability of the electrostatic chuck to reduce mask nonflatness to meet this specification are critical issues. Details describing the performance of the Coulomb electrostatic chuck have been presented in earlier publications. In this paper, the governing equation identifying the force-gap relationship for a Johnsen-Rahbek (J-R) chuck is described and compared to the Coulomb response. Using finite element techniques, numerical models of Coulomb and J-R electrostatic chucks have been constructed and evaluated for their clamping performance. The models include the effects of reticle and chuck nonflatnes...


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Predicting wafer-level IP error due to particle-induced EUVL reticle distortion during exposure chucking

Vasu Ramaswamy; Andrew R. Mikkelson; Roxann L. Engelstad; Edward G. Lovell

The mechanical distortion of an EUVL mask from mounting in an exposure tool can be a significant source of wafer-level image placement error. In particular, the presence of debris lodged between the reticle and chuck can cause the mask to experience out-of-plane distortion and in-plane distortion. A thorough understanding of the response of the reticle/particle/chuck system during electrostatic chucking is necessary to predict the resulting effects of such particle contamination on image placement accuracy. In this research, finite element modeling is employed to simulate this response for typical clamping conditions.


Journal of Vacuum Science & Technology B | 2006

Electrostatic chucking for extreme ultraviolet lithography: Simulations and experiments

Madhura Nataraju; Jaewoong Sohn; Sathish Veeraraghavan; Andrew R. Mikkelson; Kevin T. Turner; Roxann L. Engelstad; C. Van Peski; Kevin Orvek

The purpose of this research is to assess the effectiveness of electrostatic chucks in reducing low-spatial frequency mask (or reticle) flatness variations and to validate finite element (FE) models of the chuck-mask interaction. The flatness of a sample extreme ultraviolet lithography reticle and an electrostatic pin chuck were measured using a Zygo interferometer. The measured flatness data were entered into the FE models, and electrostatic chucking was simulated by applying an area-weighted average pressure on the reticle. The shape of the mask when clamped by the electrostatic chuck was then predicted using the FE model. To validate these predictions, experiments were conducted in which the previously measured reticle was electrostatically clamped using the pin chuck. These experiments were conducted in a vacuum chamber to minimize the effects of humidity. Interferometric plots of the chucked reticle surface were obtained and compared with the FE predictions. It was found that the measured and predict...


Journal of Vacuum Science & Technology B | 2003

Extreme ultraviolet lithography mask flatness and electrostatic chucking analysis

Andrew R. Mikkelson; Roxann L. Engelstad; Edward G. Lovell; Kenneth L. Blaedel; A. Claudet

The Semiconductor Industry Association Roadmap for Extreme Ultraviolet Lithography (EUVL) calls for significant improvements in the quality and flatness of the substrate and patterned mask. Due to the difficulty of meeting the mask flatness specifications, an alternative strategy has been proposed to ensure that suitable substrates will be available for EUVL. A new SEMI standard for EUVL electrostatic chucks is currently being developed. If such a standard were established, then the flatness requirements for the substrate might be relaxed to permit certain types of bow that can later be flattened by the chuck. The purpose of this study is to identify which shapes can indeed be flattened by an electrostatic chuck. To support the proposed strategy, it is essential that the clamping ability of the electrostatic chuck be characterized and well-understood. The ability of the chuck to flatten the mask will depend primarily on the mechanical stiffness of the chuck and the clamping pressure. Legendre polynomials ...


23rd Annual International Symposium on Microlithography | 1998

Mechanical distortions in advanced optical reticles

Andrew R. Mikkelson; Roxann L. Engelstad; Edward G. Lovell; Theodore M. Bloomstein; Mark E. Mason

Finite element models have been developed and refined to simulate the mechanical distortions associated with mask blank fabrication, pattern transfer, and exposure clamping. By modeling the substrate with layers associated with the mask fabrication process and then by prestressing specified layers, the resulting out-of-plane and in-plane distortions of the mask blank have been determined. Etching procedures were subsequently simulated to assess the pattern transfer distortions associated with both dark and bright field masks. Investigations included substrate materials which have acceptable optical transmission for wavelengths below 180 nm. Additional mechanical distortions associated with clamping the reticle into the exposure mount have also been considered.


Journal of Vacuum Science & Technology B | 2006

Distortion of chucked extreme ultraviolet reticles from entrapped particles

V. Ramaswamy; Roxann L. Engelstad; Kevin T. Turner; Andrew R. Mikkelson; Sathish Veeraraghavan

Successful imaging of patterns with critical dimensions less than 45nm with extreme ultraviolet lithography (EUVL) requires stringent controls on all sources of image placement (IP) errors. Among the potential sources of IP error is the mechanical distortion of the patterned mask when mounted in the exposure tool. An EUVL reticle can exhibit both in-plane distortion and out-of-plane distortion due to the presence of debris lodged between the mask and the electrostatic chuck. Even particles with a compressed height as small as 100nm have the potential to consume a significant portion of the IP error budget. To alleviate this problem, a thorough understanding of the response of the reticle∕particle∕chuck system during electrostatic chucking is essential. This article describes experimental indentation testing to characterize relevant nanoscale material properties and the subsequent use of the data in finite element models that simulate the system response under typical chucking conditions.


20th European Conference on Mask Technology for Integrated Circuits and Microcomponents | 2004

Effect of electrostatic chucking on EUVL mask flatness

Andrew R. Mikkelson; Roxann L. Engelstad; Edward G. Lovell; Lutz Aschke; Frauke Rueggeberg; Frank Sobel

The International Technology Roadmap for Semiconductors for Extreme Ultraviolet Lithography (EUVL) places strict requirements on the quality and flatness of the substrate and patterned mask. The SEMI EUVL Mask Substrate Standard (SEMI P37) specifies that the substrate frontside and backside nonflatness be no more than 50 nm peak-to-valley (p-v). Recent technological advances in polishing and finishing techniques have placed the 50 nm p-v specification within reach. A key ingredient in the development of EUVL is understanding and characterizing the clamping ability of the electrostatic chuck and the resulting effect on the flatness of the chucked mask. By implementing the shape of a representative EUVL mask surface into a numerical model, the effect of electrostatic chucking on the shape of the mask was determined. Legendre polynomials have been identified as an effective and efficient means of representing EUVL mask surface shapes. Finite element (FE) models have been developed to utilize the Legendre coefficients as input data to define the surfaces of an EUVL mask. The FE models were then used to determine the clamping response of the mask. In particular, the maximum mask-to-chuck gap within the Flatness Quality Area and over the entire mask has been tracked as a function of clamping pressure for representative EUVL surfaces. One of the important parameters in this study was the chucks mechanical stiffness (comprised of the thickness and modulus). The flatness of the EUVL mask also depends on the intrinsic stress and thickness of the multilayer and backside layers. The results in this paper show that the recent advances in EUVL substrate polishing have resulted in masks that can be chucked relatively flat.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Mechanical modeling of the reticle and chuck for EUV lithography

Carl J. Martin; Andrew R. Mikkelson; Richard O. Tejeda; Roxann L. Engelstad; Edward G. Lovell; Kenneth L. Blaedel; Andre A. Claudet

The reflective reticles used for extreme ultraviolet (EUV) lithography are subject to the stringent image placement and flatness requirements for 70 nm and smaller feature sizes. Stresses in the reflective multilayer coatings can produce substantial bowing of the reticle, and variations in the flatness and thickness of the reticle substrate, as well as entrapped debris particles, can contribute to flatness errors on the patterned surface after reticle chucking. Reticles will also be subjected to high stage accelerations and thermal loadings during exposure. The chuck in the exposure tool will be required to clamp the reticle flat, crush entrapped debris, remove absorbed EUV energy, and prevent slippage during stage accelerations. Additionally, the thermal and structural behavior of the chuck will influence the reticle response, and thus the reticle and chuck must be considered as a system. In order to determine reticle and chucking requirements, finite element models have been developed to analyze many of the key issues in the mechanical design of the reticle and chuck. The analyses are being used to support the development of reticle and chuck standards for EUV lithography.


Journal of Micro-nanolithography Mems and Moems | 2009

Analysis of Coulomb and Johnsen-Rahbek electrostatic chuck performance in the presence of particles for extreme ultraviolet lithography

Michael R. Sogard; Andrew R. Mikkelson; Vasu Ramaswamy; Roxann L. Engelstad

The successful implementation of extreme ultraviolet lithography (EUVL) requires the use of an electrostatic chuck to both support and flatten the mask during scanning exposure. The EUVL Mask Standard, SEMI P37, specifies the nonflatness of the mask frontside and backside, as well as the thickness variation, to be 30 to 100 nm peak-to-valley, dependent on the class of substrate. Thus, characterizing and predicting the capability of the electrostatic chuck to reduce mask nonflatness to meet these specifications are critical issues. In this research, the ability of such chucks to deal with the presence of particles trapped between the substrate and chuck is investigated. Analytical and finite element modeling are used to identify the forces needed to fully embed or deform a particle during electrostatic chucking. Simulation results (using an elastic analysis) show that the forces generated by both Coulomb and Johnsen-Rahbek chucks should be able to sufficiently deform, or flatten, particles that are nearly 1.0 µm in size.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

EUV mask and chuck analysis: simulation and experimentation

Madhura Nataraju; Jaewoong Sohn; Andrew R. Mikkelson; Kevin T. Turner; Roxann L. Engelstad; Chris K. Van Peski

Extreme ultraviolet (EUV) masks and mask chucks require extreme flatness in order to meet the performance and timing specified by the International Technology Roadmap for Semiconductors (ITRS). The EUVL Mask and Chucking Standards, SEMI P37 and SEMI P40, specify the nonflatness of the mask frontside and backside, as well as the chucking surface, to be no more than 50 nm peak-to-valley (p-v). Understanding and characterizing the clamping ability of the electrostatic chuck and its effect on the mask flatness is a critical issue. In the present study, chucking experiments were performed using an electrostatic pin chuck and finite element (FE) models were developed to simulate the chucking. The frontside and backside surface flatness of several EUV substrates were measured using a Zygo large-area interferometer. Flatness data for the electrostatic chuck was also obtained and this data along with the substrate flatness data was used as the input for the FE modeling. Data from one substrate was selected for modeling and testing and is included in this paper. Electrostatic chucking experiments were conducted in a clean-room facility to minimize contamination due to particles. The substrate was chucked using an electrostatic pin chuck and the measured flatness was compared to the predictions obtained from the FE simulation.

Collaboration


Dive into the Andrew R. Mikkelson's collaboration.

Top Co-Authors

Avatar

Roxann L. Engelstad

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Edward G. Lovell

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Jaewoong Sohn

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Madhura Nataraju

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Kevin T. Turner

University of Pennsylvania

View shared research outputs
Top Co-Authors

Avatar

Amr Y. Abdo

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Vasu Ramaswamy

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Gerald A. Dicks

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Jacob R. Zeuske

University of Wisconsin-Madison

View shared research outputs
Researchain Logo
Decentralizing Knowledge