Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Edward G. Lovell is active.

Publication


Featured researches published by Edward G. Lovell.


Journal of Vacuum Science & Technology B | 2002

Prediction of fabrication distortions in step and flash imprint lithography templates

Carl J. Martin; Roxann L. Engelstad; Edward G. Lovell; Douglas J. Resnick; E. J. Weisbrod

Step and flash imprint lithography (SFIL) is an alternative approach for printing sub-100 nm features that relies on chemical and mechanical techniques to transfer patterns. The imprint process requires no projection optics and is performed at room temperature with low imprint pressures to reduce thermal and mechanical template distortions. Because imprint lithographies are 1× pattern transfer processes that preclude magnification corrections, the minimization of template distortions during fabrication and imprinting is critical. The processes and materials used in the fabrication of SFIL templates are similar to those used in the manufacturing of optical masks. The various process steps have been simulated using finite element techniques in order to predict the resulting pattern distortions. Two proposed template fabrication schemes were modeled, the resulting pattern distortions compared, and the error sources were quantified.


Optical Microlithography XVI | 2003

Effects of soft pellicle frame curvature and mounting process on pellicle-induced distortions in advanced photomasks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Daniel Tanzil; Florence Eschbach; Yulia O. Korobko; Minoru Fujita; Hiroaki Nakagawa

Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-90 nm lithography chip manufacturing. Intel Corporation, Mitsui Chemicals, and the University of Wisconsin Computational Mechanics Center (UW-CMC) have conducted an extensive experimental study to quantify and minimize the pellicle-induced distortions in order to meet advanced mask manufacturing requirements. A comprehensive design of experiment was elaborated to evaluate the effects of frame curvature, adhesive gasket compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A frame curvature measurement tool was custom-made at the UW-CMC, employing an MTI Instruments capacitive sensor. A TA Instruments dynamic mechanical analyzer was used to determine the elastic modulus of the adhesive gasket materials. Registration measurements were conducted by Intel on test reticles on a 21 × 21 array of grid points, before and after pellicle attachment, to obtain pellicle-induced distortion results. Results characterize the influence of attachment process, type of adhesive gasket, frame curvature, reticle guiding plate configuration, and attachment load on pellicle-induced distortions.


Emerging lithographic technologies. Conference | 1999

Finite element modeling of ion-beam lithography masks for pattern transfer distortions

Gary A. Frisque; Richard O. Tejeda; Edward G. Lovell; Roxann L. Engelstad

As one of the Next Generation Lithographies, Ion-beam Projection Lithography (IPL) will be subject to strict error budgets for the sub-130 nm regime and will require high patter placement accuracy. Meeting these stringent conditions in a timely and cost-effective manner will depend upon accurate predictions of the mechanical distortions induced in IPL stencil masks during fabrication and pattern transfer. To simulate pattern transfer, finite element (FE) structural models of the stencil masks have been developed to predict distortions due to the fabrication of voids in stressed mask membranes. In this paper, an application of FE modeling for stencil masks has been demonstrated using both the IBM Falcon pattern and more uniform patterns.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Pattern transfer distortions in IPL and EPL masks with pattern density gradients

Gary A. Frisque; Edward G. Lovell; Roxann L. Engelstad

Stringent error budgets for Next Generation Lithography masks require accurate pattern placement. Therefore, predictions of distortions induced during mask fabrication and usage are needed to optimize processing and exposure conditions. This paper focuses on the in-plane distortions resulting from pattern transfer during the fabrication of ion-beam projection lithography and electron-beam projection lithography stencil masks.


Emerging lithographic technologies. Conference | 1999

Predicting mechanical distortions in x-ray masks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Cameron J. Brooks

The development of a low distortion mask is essential for advanced lithographic technologies to meet the allotted error budgets for sub-130 nm regimes. Predicting mask- related distortions is the first step in the design and optimization process. This paper presents the result of simulating mechanical distortions induced in the x-ray mask during fabrication and pattern transfer. Finite element (FE) models have been used to predict the out-of-plane distortions for the fabrication of the mask blank. Numerical data are in excellent agreement with experimental data. In- plane distortions due to the pattern transfer process have also been simulated for the IBM Falcon mask layout. Parametric studies illustrate the effect of system parameters on the final in-plane distortion results.


Journal of Vacuum Science & Technology B | 2002

Particle-induced distortion in extreme ultraviolet lithography reticles during exposure chucking

R. Tejeda; Roxann L. Engelstad; Edward G. Lovell; Kenneth L. Blaedel

Since the goal of extreme ultraviolet lithography is to produce circuit patterns with critical dimensions less than 65 nm, a key to its success will be to identify and minimize the major sources of image placement (IP) error at the wafer. Two sources of IP error are in-plane distortion (IPD) and out-of-plane deformation (OPD) of the patterned reticle during chucking in the exposure tool. Among the many possible causes of IPD and OPD is particle contamination. Small pieces of debris lodged between the reticle and chuck have the potential to distort the pattern that is transferred to the device wafer. Such distortions may consume an unduly large portion of the error budget allotted to image placement. In order to limit these IP errors, it is first necessary to gain a thorough understanding of the behavior of a particle trapped during the chucking process. This article describes the techniques that were used to study these trapped particles and their potential effects on pattern placement accuracy.


Journal of Vacuum Science & Technology B | 2003

Simulating fluid flow characteristics during the scanning process for immersion lithography

A. Wei; Amr Y. Abdo; G. Nellis; Roxann L. Engelstad; J. Chang; Edward G. Lovell; W. Beckman

Immersion lithography has been proposed as a method for improving optical lithography resolution to 50 nm. The premise behind the concept is to increase the index of refraction in the space between the lens and wafer by insertion of a high refractive index liquid in place of the low refractive index air that currently fills the gap. Because the liquid will act as a lens component during the lithographic process, it must maintain high uniform optical quality. One source of optical degradation may be due to changes in the liquid’s index of refraction caused by a change in temperature. During the exposure process, energy is deposited onto the wafer, causing a rise in temperature. Consequently, any liquid in direct contact with elevated temperature portions of the wafer will also experience an increase in temperature. Two-dimensional computational fluid dynamics models were created to assess the thermal and fluid effects of the exposure process on the liquid temperature. This article presents the results of t...


Journal of Vacuum Science & Technology B | 2002

Electron projection lithography mask format layer stress measurement and simulation of pattern transfer distortion

Phillip L. Reu; Cheng-fu Chen; Roxann L. Engelstad; Edward G. Lovell; T. Bayer; J. Greschner; S. Kalt; H. Weiss; O. R. Wood; R. S. Mackay

Electron projection lithography (EPL) is one of the leading candidates for the sub-65 nm lithography node. The development of a low-distortion mask is critical to the success of EPL. This article proposes and analyzes two new EPL mask formats described as a “corrugated-continuous membrane mask” and a “carbon-continuous membrane mask.” Novel process flows for the manufacture of these masks have been developed at Team Nanotec GmbH. Resonant frequency stress measurements of the ultrathin membrane bilayers were completed and subsequently used in the finite element simulation of the mask fabrication and pattern transfer. The new mask types have the benefits of the lower distortions of a typical continuous membrane mask, but maintain the advantage of the higher throughput stencil format because of the ultrathin films. In addition, the proposed masks remove the need for pattern splitting typically used with complementary systems.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Predicting wafer-level IP error due to particle-induced EUVL reticle distortion during exposure chucking

Vasu Ramaswamy; Andrew R. Mikkelson; Roxann L. Engelstad; Edward G. Lovell

The mechanical distortion of an EUVL mask from mounting in an exposure tool can be a significant source of wafer-level image placement error. In particular, the presence of debris lodged between the reticle and chuck can cause the mask to experience out-of-plane distortion and in-plane distortion. A thorough understanding of the response of the reticle/particle/chuck system during electrostatic chucking is necessary to predict the resulting effects of such particle contamination on image placement accuracy. In this research, finite element modeling is employed to simulate this response for typical clamping conditions.


21st Annual BACUS Symposium on Photomask Technology | 2002

Numerical and experimental studies of pellicle-induced photomask distortions

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Yuri M. Shkel; Florence Eschbach; Emily Y. Shu; Daniel Tanzil; Rebecca Calhoun

Meeting the stringent error budget of 157-nm lithography for manufacturing devices in the sub-100 nm regime requires that all mask-related distortions be minimized, corrected, or eliminated. Sources include the pellicle system, which has been previously identified as a potential cause of image placement error. To characterize pellicle-induced distortions, finite element (FE) models have been developed to simulate system fabrication, including soft pellicles as well as prototype fused silica (hard) pellicles. The main sources of distortions are: (a) temperature variations, (b) initially distorted components, and (c) sag-induced refraction. Temperature variations are an issue if pellicle mounting and exposure take place at different temperatures. Sources of attachment-induced distortions include the initial frame curvature, initial reticle shape, attachment method (mounting tools-induced), frame and gasket materials, and the hard pellicle bow. These attachment-induced distortions were modeled using experimentally measured values of Youngs modulus for adhesive gaskets. Refraction aberration is an issue with bowed hard pellicles which act as optical elements and induce image degradation. These effects were assessed and found to be significant. Results from the experiments and comprehensive FE simulations have characterized the relative importance of the principal sources of pellicle-induced photomask distortions for 157-nm lithography.

Collaboration


Dive into the Edward G. Lovell's collaboration.

Top Co-Authors

Avatar

Roxann L. Engelstad

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Andrew R. Mikkelson

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Amr Y. Abdo

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Eric P. Cotte

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Gerald A. Dicks

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Phillip L. Reu

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Richard O. Tejeda

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

W.A. Beckman

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Zhaohua Feng

University of Wisconsin-Madison

View shared research outputs
Researchain Logo
Decentralizing Knowledge