Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Roxann L. Engelstad is active.

Publication


Featured researches published by Roxann L. Engelstad.


Journal of Vacuum Science & Technology B | 2002

Prediction of fabrication distortions in step and flash imprint lithography templates

Carl J. Martin; Roxann L. Engelstad; Edward G. Lovell; Douglas J. Resnick; E. J. Weisbrod

Step and flash imprint lithography (SFIL) is an alternative approach for printing sub-100 nm features that relies on chemical and mechanical techniques to transfer patterns. The imprint process requires no projection optics and is performed at room temperature with low imprint pressures to reduce thermal and mechanical template distortions. Because imprint lithographies are 1× pattern transfer processes that preclude magnification corrections, the minimization of template distortions during fabrication and imprinting is critical. The processes and materials used in the fabrication of SFIL templates are similar to those used in the manufacturing of optical masks. The various process steps have been simulated using finite element techniques in order to predict the resulting pattern distortions. Two proposed template fabrication schemes were modeled, the resulting pattern distortions compared, and the error sources were quantified.


Optical Microlithography XVI | 2003

Effects of soft pellicle frame curvature and mounting process on pellicle-induced distortions in advanced photomasks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Daniel Tanzil; Florence Eschbach; Yulia O. Korobko; Minoru Fujita; Hiroaki Nakagawa

Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-90 nm lithography chip manufacturing. Intel Corporation, Mitsui Chemicals, and the University of Wisconsin Computational Mechanics Center (UW-CMC) have conducted an extensive experimental study to quantify and minimize the pellicle-induced distortions in order to meet advanced mask manufacturing requirements. A comprehensive design of experiment was elaborated to evaluate the effects of frame curvature, adhesive gasket compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A frame curvature measurement tool was custom-made at the UW-CMC, employing an MTI Instruments capacitive sensor. A TA Instruments dynamic mechanical analyzer was used to determine the elastic modulus of the adhesive gasket materials. Registration measurements were conducted by Intel on test reticles on a 21 × 21 array of grid points, before and after pellicle attachment, to obtain pellicle-induced distortion results. Results characterize the influence of attachment process, type of adhesive gasket, frame curvature, reticle guiding plate configuration, and attachment load on pellicle-induced distortions.


Emerging lithographic technologies. Conference | 1999

Finite element modeling of ion-beam lithography masks for pattern transfer distortions

Gary A. Frisque; Richard O. Tejeda; Edward G. Lovell; Roxann L. Engelstad

As one of the Next Generation Lithographies, Ion-beam Projection Lithography (IPL) will be subject to strict error budgets for the sub-130 nm regime and will require high patter placement accuracy. Meeting these stringent conditions in a timely and cost-effective manner will depend upon accurate predictions of the mechanical distortions induced in IPL stencil masks during fabrication and pattern transfer. To simulate pattern transfer, finite element (FE) structural models of the stencil masks have been developed to predict distortions due to the fabrication of voids in stressed mask membranes. In this paper, an application of FE modeling for stencil masks has been demonstrated using both the IBM Falcon pattern and more uniform patterns.


Journal of Vacuum Science & Technology B | 1998

p-n junction-based wafer flow process for stencil mask fabrication

Ivo W. Rangelow; F. Shi; B. Volland; E. Sossna; A. Petrashenko; P. Hudek; R. Sunyk; I. Kostic; J. Butschke; F. Letzkus; R. Springer; Albrecht Ehrmann; G. Gross; Rainer Kaesmaier; A. Oelmann; T. Struck; G. Unger; A. Chalupka; E. Haugeneder; G. Lammer; H. Löschner; R. Tejeda; E. Lovell; Roxann L. Engelstad

The development of stencil masks is considered to be critical to the success of the new ion projection lithography technology. We present here a p-n junction wafer flow process where all fabrication steps are realized on a bulk Si wafer except the final trench etching through the 2–4-μm-thick Si membrane. Stencil masks were produced in a conventional complementary metal-oxide-semiconductor 150 mm wafer line, using an e-beam direct writing tool for patterning. The resist patterns were transferred by standard reactive ion etching (RIE) into a stress-controlled SiON hard mask layer. Subsequent to depositing an Al metal layer for contact to the n-doped wafer surface, the membrane was realized by a wet chemical etch which implemented well established reverse biased p-n junction etch stop techniques. Then, openings through the Si membrane were etched by RIE or inductively coupled plasma etching. Finally, the remaining hard mask layer was removed in BHF. The realized Si membrane diameter was 120 mm with a stenci...


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Pattern transfer distortions in IPL and EPL masks with pattern density gradients

Gary A. Frisque; Edward G. Lovell; Roxann L. Engelstad

Stringent error budgets for Next Generation Lithography masks require accurate pattern placement. Therefore, predictions of distortions induced during mask fabrication and usage are needed to optimize processing and exposure conditions. This paper focuses on the in-plane distortions resulting from pattern transfer during the fabrication of ion-beam projection lithography and electron-beam projection lithography stencil masks.


Emerging lithographic technologies. Conference | 1999

Predicting mechanical distortions in x-ray masks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Cameron J. Brooks

The development of a low distortion mask is essential for advanced lithographic technologies to meet the allotted error budgets for sub-130 nm regimes. Predicting mask- related distortions is the first step in the design and optimization process. This paper presents the result of simulating mechanical distortions induced in the x-ray mask during fabrication and pattern transfer. Finite element (FE) models have been used to predict the out-of-plane distortions for the fabrication of the mask blank. Numerical data are in excellent agreement with experimental data. In- plane distortions due to the pattern transfer process have also been simulated for the IBM Falcon mask layout. Parametric studies illustrate the effect of system parameters on the final in-plane distortion results.


Journal of Vacuum Science & Technology B | 2005

Control of the receding meniscus in immersion lithography

H. Burnett; T. Shedd; G. Nellis; M. El-Morsi; Roxann L. Engelstad; Stephen Garoff; Kalyani Varanasi

The implementation of immersion lithography requires a sophisticated fluid management system. The design of the fluid management system must simultaneously consider liquid heating, viscous shear, normal forces, air entrainment, and the control of the liquid/air interfaces. In particular, it is becoming clear that the behavior of the receding air/water interface is critical and must be carefully controlled in order to prevent the deposition of residual liquid due to film pulling or the interface instability referred to as meniscus overflow. This paper discusses these failure mechanisms that are associated with the receding meniscus and that have been experimentally observed. In addition, a simple yet physics-based engineering model of the receding meniscus failure is presented and the results of the model are compared to experimental data.


Journal of Vacuum Science & Technology B | 1993

Practical considerations in x‐ray mask mounting methodology

D. L. Laird; M. F. Laudon; Roxann L. Engelstad

Overlay requirements for 1:1 masks used in x‐ray lithography require robust mask mounting techniques which are relatively insensitive to uncontrollable imperfections. Small variations in mask flatness or mounting surface flatness should not make the mask unusable. The cases of 3‐2‐1 pinch‐type kinematic mounts, stiff vacuum pad mounts, and full surface mounts are investigated here. The results show the kinematic mount to be superior in its insensitivity to the original equilibrium configuration of the mass after bonding.


Journal of Vacuum Science & Technology B | 2002

Particle-induced distortion in extreme ultraviolet lithography reticles during exposure chucking

R. Tejeda; Roxann L. Engelstad; Edward G. Lovell; Kenneth L. Blaedel

Since the goal of extreme ultraviolet lithography is to produce circuit patterns with critical dimensions less than 65 nm, a key to its success will be to identify and minimize the major sources of image placement (IP) error at the wafer. Two sources of IP error are in-plane distortion (IPD) and out-of-plane deformation (OPD) of the patterned reticle during chucking in the exposure tool. Among the many possible causes of IPD and OPD is particle contamination. Small pieces of debris lodged between the reticle and chuck have the potential to distort the pattern that is transferred to the device wafer. Such distortions may consume an unduly large portion of the error budget allotted to image placement. In order to limit these IP errors, it is first necessary to gain a thorough understanding of the behavior of a particle trapped during the chucking process. This article describes the techniques that were used to study these trapped particles and their potential effects on pattern placement accuracy.


Journal of Micro-nanolithography Mems and Moems | 2004

Theoretical analysis of 157-nm hard pellicle system purification via a cyclic purge'fill process

Gregory Nellis; Amr Y. Abdo; Roxann L. Engelstad; Eric P. Cotte

Optical lithography with 157-nm light is expected to bridge the gap between 193-nm technology and next-generation lithography. One important practical difficulty facing the implementation of 157-nm tech- nology is gas absorption of 157-nm light. The exposure process for 193-nm technology is carried out in an air environment, but oxygen gas and water vapor severely attenuate 157-nm radiation. However, 157-nm exposure can be carried out in a nitrogen environment, which can be achieved by purging. A challenging aspect of the nitrogen purging pro- cess is the evacuation of the volume delineated by the pellicle frame, and the 800-mm-thick hard pellicle plate, which can fracture when sub- jected to an excessive pressure difference. A technique for pellicle puri- fication via a cyclic purging and filling process is investigated. A theoret- ical analysis of the gas flow and pressure variation in the system is presented. The maximum stress induced in the hard pellicle during the process is predicted using finite element modeling. The minimum time for purification without causing excessive stress in the pellicle plate is estimated for a nominal set of conditions. Finally, a parametric analysis of important geometric variables including the size and number of purg- ing holes as well as the filter resistance is presented.

Collaboration


Dive into the Roxann L. Engelstad's collaboration.

Top Co-Authors

Avatar

Edward G. Lovell

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Andrew R. Mikkelson

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Amr Y. Abdo

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Jaewoong Sohn

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Gerald A. Dicks

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Eric P. Cotte

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Phillip L. Reu

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

F. Cerrina

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Madhura Nataraju

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Richard O. Tejeda

University of Wisconsin-Madison

View shared research outputs
Researchain Logo
Decentralizing Knowledge