Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kim Dean is active.

Publication


Featured researches published by Kim Dean.


Proceedings of SPIE | 2007

An analysis of EUV resist outgassing measurements

Kim Dean; Iwao Nishiyama; Hiroaki Oizumi; Anthony Keen; Heidi Cao; Wang Yueh; Takeo Watanabe; Paolo Lacovig; Luca Rumiz; Gregory Denbeaux; Julia Simon

Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to correlate outgassing measurements to witness plate experiments.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Effects of material design on extreme ultraviolet (EUV) resist outgassing

Kim Dean; Kenneth E. Gonsalves; Muthiah Thiyagarajan

Optics contamination is a huge concern for extreme ultraviolet (EUV) lithography. In efforts to protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH has initiated a resist outgassing program to screen new resists and to learn outgassing characteristics using model compounds. This paper presents outgassing data for commercial resists as well as resists made by university researchers. Several resists made at the University of North Carolina at Charlotte (UNCC) were measured, including polymer-bound photoacid generator (PAG) resists such as poly (HOST-co-EAMA-co-PAG). Previous papers have reported that a large portion of outgassing is due to PAG fragments and deblocking groups. The UNCC resists outgas an order of magnitude less than most commercial resists tested by SEMATECH. This may be due to the low diffusion of the acid-cleavable adamantyl groups after exposure. In addition, fewer PAG species outgassed in the polymer-bound PAG resist than in blend PAG resists.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Investigation of the Current Resolution Limits of Advanced Extreme Ultraviolet (EUV) Resists

Patrick Naulleau; Clemens Rammeloo; Jason P. Cain; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Bruno La Fontaine; Adam R. Pawloski; Carl E. Larson; Greg Wallraff

The past two years has brought tremendous improvements in the crucial area of resists for extreme ultraviolet (EUV) lithography. Nested and isolated line resolutions approaching 30 nm and 25 nm, respectively, have been demonstrated. These advances have been enabled, in large part, by the high-numerical (0.3) EUV imaging capabilities provided by the Berkeley microfield exposure tool (MET). Here we investigate the resolution limits in several advanced EUV resists using the Berkeley MET. Comparisons to aerial-image performance and the use of resolution-enhancing illumination conditions are used to establish the fact that the observed pattern resolution in the best chemically-amplified resists available today are indeed resist limited. Moreover, contrast transfer function (CTF) techniques are used to directly compare various advanced resists. Strong correlation is observed between relative CTF performance and observed resolution limits.


Proceedings of SPIE | 2008

Film quantum yields of EUV& ultra-high PAG photoresists

Elsayed Hassanein; Craig Higgins; Patrick Naulleau; Richard Matyi; Gregg M. Gallatin; Gregory Denbeaux; Alin Antohe; Jim Thackeray; Kathleen Spear; Charles R. Szmanda; Christopher N. Anderson; Dimitra Niakoula; Matthew Malloy; Anwar Khurshid; Cecilia Montgomery; Emil Piscani; Andrew C. Rudack; Jeff D. Byers; Andy Ma; Kim Dean; Robert Brainard

Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV- 2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.


Proceedings of SPIE | 2008

Photons, electrons, and acid yields in EUV photoresists: a progress report

Robert Brainard; Elsayed Hassanein; Juntao Li; Piyush Pathak; Brad Thiel; F. Cerrina; Richard Moore; Miguel Rodriguez; Boris V. Yakshinskiy; E. Loginova; Theodore E. Madey; Richard Matyi; Matt Malloy; Andrew C. Rudack; Patrick Naulleau; Andrea Wüest; Kim Dean

This paper describes our initial investigation into building a greater understanding of the complex mechanism occurring during extreme ultraviolet (EUV) exposure of resist materials. In particular, we are focusing on the number and energy of photoelectrons generated and available for reaction with photoacid generators (PAGs). We propose that this approach will best enable the industry to develop resists capable of meeting resolution, line width roughness (LWR), and sensitivity requirements.


IEEE Journal of Quantum Electronics | 2006

Extreme ultraviolet lithography capabilities at the advanced light source using a 0.3-NA optic

Patrick Naulleau; Kenneth A. Goldberg; Jason P. Cain; Erik H. Anderson; Kim Dean; Paul Denham; Brian Hoef; Keith H. Jackson

Extreme ultraviolet lithography is a leading candidate for volume production of nanoelectronics at the 32-nm node and beyond. In order to ensure adequate maturity of the technology by the start date for the 32-nm node, advanced development tools are required today with numerical apertures of 0.25 or larger. In order to meet these development needs, a microexposure tool based on SEMATECHs 0.3-numerical aperture microfield optic has been developed and implemented at Lawrence Berkeley National Laboratory, Berkeley, CA. Here we describe the Berkeley exposure tool in detail, discuss its characterization, and summarize printing results obtained over the past year. Limited by the availability of ultrahigh resolution chemically amplified resists, present resolving capabilities limits are approximately 32 nm for equal lines and spaces and 28 nm for semi-isolated lines.


Proceedings of SPIE | 2008

Advanced extreme ultraviolet resist testing using the SEMATECH Berkeley 0.3-NA microfield exposure tool

Patrick P. Naulleau; Christopher N. Anderson; Jerrin Chiu; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Sungmin Huh; Gideon Jones; Bruno M. LaFontaine; Andy Ma; Dimitra Niakoula; Joo-on Park; Tom Wallow

Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV) resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor (MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects and compare the results to observed trends in LER performance from EUV resists over the past few years.


Journal of Vacuum Science & Technology B | 2005

Characterization of the synchrotron-based 0.3 numerical aperture extreme ultraviolet microexposure tool at the Advanced Light Source

Patrick Naulleau; Jason P. Cain; Erik H. Anderson; Kim Dean; Paul Denham; Kenneth A. Goldberg; Brian Hoef; Keith Jackson

Synchrotron-based extreme ultraviolet (EUV) exposure tools continue to play a crucial roll in the development of EUV lithography. Utilizing a programmable-pupil-fill illuminator, the 0.3 numerical aperture (NA) microexposure tool at Lawrence Berkeley National Laboratory’s Advanced Light Source synchrotron radiation facility provides the highest resolution EUV projection printing capabilities available today. This makes it ideal for the characterization of advanced resist and mask processes. The Berkeley tool also serves as a good benchmarking platform for commercial implementations of 0.3 NA EUV microsteppers because its illuminator can be programmed to emulate the coherence conditions of the commercial tools. Here we present the latest resist and tool characterization results from the Berkeley EUV exposure station.


26th Annual International Symposium on Microlithography | 2001

Photoresist outgassing at 157 nm exposure

Stefan Hien; Steve Angood; Dominic Ashworth; Steve Basset; Theodore M. Bloomstein; Kim Dean; Roderick R. Kunz; Daniel Miller; Shashikant Patel; Georgia K. Rich

Contamination of optical elements during photoresist exposure is a serious issue in optical lithography. The outgassing of photoresist has been identified as a problem at 248nm and 193nm in production because the organic films that can be formed on an exposure lens can cause transmission loss and sever image distortion. At these exposure energies, the excitation of the photo acid generator, formation of acid, and cleavage of the protecting group are highly selective processes. At 157nm, the exposure energy is much higher (7.9 eV compared to 6.4 eV at 193nm) and it is known from laser ablation experiments that direct laser cleavage of sigma bonds occurs. The fragments formed during this irradiation can be considered as effective laser deposition precursors even in the mid ppb level. In this study, methods to quantify photoresist outgassing at 157 nm are discussed. Three criteria have been set up at International SEMATECH to protect lens contamination and to determine the severity of photoresist outgassing. First, we measured film thickness loss as a function of exposure dose for a variety of materials. In a second test we studied the molecular composition of the outgassing fragments with an exposure chamber coupled to a gas chromatograph and a mass spectrometer detector. Our third method was a deposition test of outgassing vapors on a CaF2 proof plate followed by analysis using VUV and X-ray photoelectron spectroscopies (XPS). With this technique we found deposits for many different resists. Our main focus is on F- and Si- containing resists. Both material classes form deposits especially if these atoms are bound to the polymer side chains. Whereas the F-containing films can be cleaned off under 157nm irradiation, cleaning of Si-containing films mainly produces SiO2. Our cleaning studies of plasma deposited F-containing organic films on SiO2 did not indicate damage of this surface by the possible formation of HF. Despite that we strongly recommend engineering measures to overcome contamination by resist, such as optimizing the purge flow between the final lens element and wafer surface or utilization of a lens pellicle.


Proceedings of SPIE | 2008

Benchmarking of commercial EUVL resists at SEMATECH

Andy Ma; Joo-on Park; Kim Dean; Stefan Wurm; Patrick P. Naulleau

Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography technology for the 32 nm half-pitch node and beyond. The availability of EUV resists is one of the most significant challenges facing its commercialization. A successful commercial EUV resist must simultaneously meet resolution, line width roughness (LWR), photosensitivity, and resist outgassing specifications. Photosensitivity is of particular concern because it couples directly to source power requirements and the source is widely viewed as the most daunting challenge facing EUV commercialization. To accelerate EUV resist development, SEMATECH has two programs that provide the resist community access to EUV exposure capability: 1) the EUV Resist Test Center (RTC) at SEMATECH at Albany, SUNY, and 2) the SEMATECH microexposure tool (MET) at Lawrence Berkeley National Laboratory. SEMATECH uses both facilities to benchmark EUV resists in close cooperation with resist suppliers. Here we summarize results from the SEMATECH EUV resist benchmarking project including process windows, exposure latitude, and depth of focus, photospeed, LWR, and ultimate resolution. Results show that EUV resists meet resolution and outgassing requirements for the 32nm half-pitch node. LWR and photospeed, however, remain a concern especially for contact-hole printing. Moreover, progress towards the 22nm half-pitch node has also been demonstrated in terms of resolvability.

Collaboration


Dive into the Kim Dean's collaboration.

Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Brian Hoef

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Paul Denham

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Jason P. Cain

University of California

View shared research outputs
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Keith Jackson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Dimitra Niakoula

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge