Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Antoine Pacco is active.

Publication


Featured researches published by Antoine Pacco.


Solid State Phenomena | 2012

Effects of Interfacial Strength and Dimension of Structures on Physical Cleaning Window

Tae Gon Kim; Antoine Pacco; Kurt Wostyn; Steven Brems; Xiu Mei Xu; H. Struyf; Kai Arstila; B. Vandevelde; Jin-Goo Park; Stefan De Gendt; Paul Mertens; Marc Heyns

Four different types of FINs; amorphous Si (a-Si), annealed a-Si, polycrystalline Si (poly-Si) and crystalline Si (c-Si) were used to investigate the effect of interfacial strength and the length of structures on the physical cleaning window by measuring their collapse forces by atomic force microscope (AFM). A transmission electron microscope (TEM) and a nanoneedle with a nanomanipulator in a scanning electron microscope (SEM) were employed in order to explain the different collapse behavior and their forces. Different fracture shapes and collapse forces of FINs could explain the influence of the interfacial strength on the pattern strength. Furthermore, the different lengths of a-Si FINs were prepared and their collapse forces were measured and the shorter length reduced their pattern strength. Strong adhesion at the interface resulted in a wider process window while smaller dimensions made the process window narrower.


Solid State Phenomena | 2009

Low Temperature Pre-Epi Treatment: Critical Parameters to Control Interface Contamination

Roger Loo; Andriy Hikavyy; Frederik Leys; Masayuki Wada; Kenichi Sano; Brecht De Vos; Antoine Pacco; Mireia Bargallo Gonzalez; Eddy Simoen; Peter Verheyen; Wendy Vanherle; Matty Caymax

Several device concepts have been further evaluated after the successful implementation of epitaxial Si, SiGe and/or Si:C layers. Most of the next device generations will put limitations on the thermal budget of the deposition processes without making concessions on the epitaxial layer quality. In this work we address the impact of ex-situ wet chemical cleans and in-situ pre-epi bake steps, which are required to obtain oxide free Si surfaces for epitaxial growth. The combination of defect measurements, Secondary Ion Mass Spectroscopy, photoluminescence, lifetime measurements, and electrical diode characterization gives a very complete overview of the performance of low-temperature pre-epi cleaning methods. Contamination at the epi/substrate interface cannot be avoided if the pre-epi bake temperature is too low. This interface contamination is traceable by the photoluminescence and lifetime measurements. It may affect device characteristics by enhanced leakage currents and eventually by yield issues due to SiGe layer relaxation or other defect generation. A comparison of state of the art 200 mm and 300 mm process equipment indicates that for the same thermal budgets the lowest contamination levels are obtained for the 300 mm equipments.


Solid State Phenomena | 2009

Drying of High Aspect Ratio Structures: A Comparison of Drying Techniques via Electrical Stiction Analysis

Antoine Pacco; Masayuki Wada; Twan Bearda; Paul Mertens

Nanostructures with high aspect ratios, HAR, (ratio of height to lateral feature size) are of interest for many applications. One of the immediate advantages is the large surface area of these structures. In the field of DRAM manufacturing for example, the capacitance of cylindrical DRAM capacitors increases linearly with height. Wet etching and drying of these fragile high aspect ratio structures without lateral collapse (stiction) is a big challenge for the fabrication of DRAM capacitors. The problem with HAR structures is stiction during drying [1]. In order to reduce stiction by improvement of drying techniques, a good metric to quantify the occurrence of stiction is needed. However, currently used methods like SEM or brightfield defect inspection are extremely time-consuming.


Solid State Phenomena | 2012

Influence of Dissolved CO2 on Bubble Activity in Pulsed Acoustic Fields

Steven Brems; Marc Hauptmann; Elisabeth Camerotto; Antoine Pacco; H. Struyf; Marc Heyns; Paul Mertens; Stefan De Gendt; Christiane Gottschalk

The continuous miniaturization of electronic building blocks in the semiconductor industry imposes more stringent requirements on the different cleaning processes. Purely chemical particle cleaning is based on weak etching of the substrate. This etching reduces the attractive van de Waals interaction between particle and substrate and at the same time, electrostatic repulsion ensures the removal of particles. This technique is not applicable anymore for future technology nodes, since an unacceptable large substrate loss (up to 3 nm) is necessary to obtain high particle removal efficiencies with pure chemical cleaning alone [. As a result, an additional physical force has to be considered to overcome this limitation. Several physical cleaning techniques exist, but all of them suffer from too much damage creation when fragile structures are cleaned. Currently, the industry is more focusing on spray cleaning and state-of-the-art spray tools show a high control over droplet size and droplet velocity [2]. Despite all of the advancements in spray cleaning, damage creation of fragile elements remains an issue, which could partially be attributed to the chaotic behavior of the water layer on the wafer surface [3]. Therefore, megasonic cleaning is still considered as a possible alternative to reduce damage formation during a physical cleaning process. Recently, it has been shown that the acoustic pressure amplitude can be reduced while maintaining the same particle removal efficiency level. This is achieved by (1) using pulsed acoustic fields which makes it possible to control the average bubble size and maximize the number of resonant bubbles, by (2) increasing the dissolved gas concentration which facilitates bubble nucleation and, finally, by (3) introducing traveling waves to transport bubbles to the wafer surface which needs to be cleaned. These conditions are briefly discussed and are applied during the investigation of the influence of dissolved CO2 on bubble activity. Dissolved CO2 is particularly interesting since it has been reported that sonoluminescence (i.e. strong bubble collapse) as well as damage formation is reduced when CO2 is added to the cleaning liquid [4,5]. Here, it is shown that also particle removal efficiencies (PREs) diminish with increasing CO2 concentrations.


Solid State Phenomena | 2018

Study of the Anisotropic Wet Etching of Nanoscale Structures in Alkaline Solutions

Antoine Pacco; Zainul Aabdin; Utkarsh Anand; Jens Rip; Utkur Mirsaidov; Frank Holsteyns

A qualitative and semi quantitative analysis of anisotropic etching of silicon nanostructures in alkaline solutions was done. Dedicated nanostructures were fabricated on 300mm wafers and their geometric change during wet etching was analyzed, stepwise, by top down SEM or TEM. We challenge the previously described wagon wheel technique towards nanodimensions and describe the pros and cons of the technique using relevant experimental conditions. The formation of specific geometric patterns are explained by the face-specificity of the etch rates. Clear differences in anisotropy were revealed between pillars etched in KOH or in TMAH, and for wagon wheels etched in TMAH or in NH4OH. Finally etch rates were extracted for the different types of crystal planes and compared.


Solid State Phenomena | 2016

Effect of Dilute Hydrogen Peroxide in Ultrapure Water on SiGe Epitaxial Process

Toru Masaoka; Nobuko Gan; Yu Fujimura; Yuichi Ogawa; Kurt Wostyn; Antoine Pacco; Yukifumi Yoshida; Frank Holsteyns

Ultrapure water contains dilute hydrogen peroxide as an impurity. In order to clarify an impact of the dilute hydrogen peroxide on cleaning processes, a SiGe epitaxial layer was deposited on a Si(100) wafer which surface was treated by HF last process with hydrogen peroxide contained UPW or hydrogen peroxide removed UPW. The defect in the SiGe epitaxial layer was reduced when the hydrogen peroxide removed UPW was used.


Solid State Phenomena | 2016

Optimization of EUV Reticle Cleaning by Evaluation of Chemistries on Wafer-Based Mimic Test Structures

Antoine Pacco; D. Dattilo; R. Jonckheere; Jens Rip; U. Dietze; J. Kruemberg; Frank Holsteyns

In order to evaluate the effect of repeated cleaning on EUV reticles, specifically, on the etched Mo/Si multilayer, wafer-based test structures with a mimic of this etched Mo/Si multilayer (“black-border”) were fabricated. The resistance of Mo and Si towards alkaline chemistries was tested and quantified using these test structures. The initial passivating film on Mo seems to play a role in delaying the Mo to further oxidize and dissolve in alkaline solutions. For the cleaning times used (minutes) the Mo surface, and thus the black-border edges, will probably stay passivated by that protective oxide in alkaline solution (pH 11), with no or only very limited Mo loss. Stirring and the amount of oxygen or other oxidizing species like H2O2 in solution could increase the oxidation rate of the Mo and/or Mo oxides into soluble Mo(VI) species.


Solid State Phenomena | 2014

Focus Spot Reduction by Brush Scrubber Cleaning

Antoine Pacco; Els Kesters; Ihsan Simms; Kathleen Nafus; Jelle Vandereyken; Hiroki Yonekawa

of preventive backside cleaning steps. These cleaning steps can be introduced after processes that generated high backside defect counts or right before a lithographic wafer exposure. In this study that was performed at imec’s 300mm cleanroom facility, the study objective was to evaluate the focus spot reduction performance of a stand-alone scrubber in a case study featuring known focus spot generating equipment sets. . In the first part of the study, monitoring of various production tools in terms of backside cross-contamination was done. A set of equipment’s that generated high backside defect counts was selected for generating adequate backside contaminated test material for the cleaning evaluation. This backside contaminated test material was used in our cleaning experiments and evaluation of focus spot reduction by performing leveling tests on an immersion scanner that was able to measure out of plane deviations.


Solid State Phenomena | 2012

Dummy oxide removal in high-K last process integration how to avoid silicon corrosion issue

Farid Sebaai; Anabela Veloso; Hiroaki Takahashi; Antoine Pacco; Martine Claes; M. Schaekers; Stefan De Gendt; Paul Mertens; H. Struyf

The industry has diverged into two main approaches for high-k and metal gate (HKMG) integration. One is the so called gate-first. The other is gate-last, also called replacement metal gate (RMG) where the gate electrode is deposited after junctions formation and the high-k gate dielectric is deposited in the beginning of the flow (high-k first-RMG) or just prior to gate electrode deposition (high-k last-RMG) [1-. We can distinguish two RMG process flows called either high-k first or high-k last. In RMG high-k first, poly silicon is removed on top of a TiN etch stop layer whereas on high-k last poly silicon is removed on top of a dummy oxide layer. This dummy oxide has also to be removed in order to redeposit a novel high-k and work function metal (Figure 1).


Solid State Phenomena | 2012

Uniformity of Particle Removal by Aerosol Spray

Xiu Mei Xu; Antoine Pacco; Masayuki Wada; Leonardus Leunissen; H. Struyf; Paul Mertens

In this work the dynamics of particle removal by aerosol spray is investigated. Local dwell time of spray cleaning is calculated numerically from the process conditions, and some striking topological similarities between the particle removal efficiency and dwell time profiles are observed. The particle removal rates, defined as the normalized speed of particle removal, are not constant during a typical process, with the highest removal rate for the first tens of milliseconds and a temporal decay as time elapses. Increasing N2 flow rate results in an enhancement in both the particle removal efficiency and the particle removal rate.

Collaboration


Dive into the Antoine Pacco's collaboration.

Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Steven Brems

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Marc Heyns

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge