Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jens Rip is active.

Publication


Featured researches published by Jens Rip.


Solid State Phenomena | 2016

Wet Selective SiGe Etch to Enable Ge Nanowire Formation

Farid Sebaai; Liesbeth Witters; Frank Holsteyns; Kurt Wostyn; Jens Rip; Yoshida Yukifumi; Ruben R. Lieten; Steven Bilodeau; Emanuel I. Cooper

For the Ge nanowire formation in a gate-all-around (GAA) integration scheme, a selective etch of Si0.5Ge0.5 or Si0.3Ge0.7 selective to Ge is considered. Two wet process approaches were evaluated: a boiling TMAH as a commodity chemistry is compared with a formulated chemistry using a multi-stack SiGe/Ge layer as a test vehicle. The boiling TMAH exhibits an anisotropic etch of the SiGe whereas the formulated semi-aqueous chemistry removes the sacrificial SiGe by an isotropic etch which makes the process suitable for a Ge nanowire release process.


Solid State Phenomena | 2012

'Just Clean Enough': Wet Cleaning for Solar Cell Manufacturing Applications

Kurt Wostyn; Wouter Baekelant; Jens Rip; Michael Haslinger; Karine Kenis; H. Struyf; Martine Claes; Paul Mertens; Stefan De Gendt

The cumulative installed solar power generation has been rising exponentially over the past decade. This has lead to a concomitant rise in production capabilities, leading eventually to excess production capabilities and rapid price declines per unit. In order to compete with the standard electricity generation the cost of solar panel production and installation needs to decrease even further. At the same time the solar panel and cell makers need to be able to keep a healthy margin. A crucial element in this exercise is a close control on the Cost of Ownership (CoO) of a solar cell / panel fabrication site.


Solid State Phenomena | 2012

Study of the Etching Mechanism of Heavily Doped Si in HF

Nick Valckx; Daniel Cuypers; Rita Vos; Harold Philipsen; Jens Rip; Geert Doumen; Paul Mertens; Marc Heyns; Stefan De Gendt

Following Moores scaling law, the transistor source and drain area become shallower and higher doped regions. As a consequence the limitations of substrate and dopant loss during cleaning become more stringent. For a better understanding, highly B, As and P doped blanket substrates, either prepared by ion implantation or by EPI growth, are studied. Substrate and dopant loss as a function of time and different HF etching conditions is monitored by Inductively Coupled Plasma Mass Spectrometry (ICP-MS) and additional techniques like Spectroscopic Ellipsometry (SE), .... It is shown that in general, the Si etching is dependent of the position of the Fermi level. More remarkably, the junction (4 nm) of a non-annealed heavily As or P doped substrate is completely removed after less than 20 min of etching in HF. This process is related to enhanced etch rates because of the amorphization of the substrate.


Solid State Phenomena | 2007

Investigation of Metallic Contamination Analysis Using Vapor Phase Decomposition – Droplet Collection – Total Reflection X-Ray Fluorescence (VPD-DC-TXRF) for Pt-Group Elements on Silicon Wafers

David Hellin; Nick Valckx; Jens Rip; Stefan De Gendt; Chris Vinckier

Since the nineties non-Si based materials are introduced at an accelerated pace in the research and development for advanced micro-electronic devices or micro electric mechanical systems (MEMS). Several of the materials contain elements from the Pt-group with e.g. metal gates (Ru, Pt, Ir, IrO2), ferro-electric materials (Pt, Ir, IrO2), seed layers for interconnects (Pd) and MEMS (Pt, Ru, RuO2, Rh, Pd, Os). The controlled introduction of these materials in clean room environments requires the availability of efficient detection methods such as VPD-DC-TXRF. The Pt-group elements however exhibit electropositive reduction potentials with respect to Si wafers and a more problematic collection of these metals is expected, similar to the well-known case of Cu contamination [1]. In this paper, we investigate the feasibility of a VPD-DC-TXRF method for Pt-group elements in comparison with Cu.


Solid State Phenomena | 2014

Nanoscale Etching and Reoxidation of InAs

Dennis H. van Dorp; Sophia Arnauts; D. Cuypers; Jens Rip; Frank Holsteyns; S. De Gendt

At present, the performance enhancement for Si-based transistors can no longer be guaranteed due to intrinsic mobility issues. The considerably higher electron mobility of III-V compound semiconductors (e.g. InGaAs, InAs, InSb) has led to renewed interest and a following phase in the development of future transistors for the 7-5 nm technology node [1].


Solid State Phenomena | 2005

VPD-DC-TXRF for metallic contamination analysis of Ge wafers

David Hellin; Veerle Geens; Ivo Teerlinck; Jan Van Steenbergen; Jens Rip; Wim Laureyn; Geoffroy Raskin; Paul Mertens; Stefan De Gendt; Christiaan Vinckier

Ge substrates are recently being reconsidered as a candidate material for the replacement of Si substrates in advanced semiconductor devices, due to their enhanced intrinsic mobility [1]. Specifications towards tolerable metallic contamination levels on these substrates are similar as for Si substrates: i.e. a total concentration of critical metals of 5E9 at/cm


Solid State Phenomena | 2018

Optimization of Post Etch Cobalt Compatible Clean by pH and Oxidizer

Hideaki Iino; Yuichi Ogawa; Toru Masaoka; Quoc Toan Le; Els Kesters; Jens Rip; Yusuke Oniki; Yuya Akanishi; Akihisa Iwasaki; Frank Holsteyns

The introduction of Co into MOL and BEOL requires a robust wet clean, especially the optimization of the Co rinsing step seems to be critical. The wafer rinsing solutions with a precisely controlled pH and oxidizing additive have been developed to suppress the Co corrosion. In addition, the mechanism of passivation and corrosion of the cobalt surface as well as the passivation stability is discussed.


Solid State Phenomena | 2018

SiGe vs. Si Selective Wet Etching for Si Gate-all-Around

Kana Komori; Jens Rip; Yukifumi Yoshida; Kurt Wostyn; Farid Sebaai; Wen Dar Liu; Yi Chia Lee; Ryo Sekiguchi; Hans Mertens; Andriy Hikavyy; Frank Holsteyns; N. Horiguchi

Gate All-Around (GAA) is considered a key design feature for future CMOS technology. SiGe vs. Si selective etch is required for Si nanowire formation in GAA. It is confirmed the selective SiGe removal with commodity chemical (mixtures of hydrofluoric acid (HF), hydrogen peroxide (H2O2) and acetic acid (CH3COOH, HAc)), however the thick oxidized layer on Si NW was observed after commodity chemical process, which is indicated the significant Si NW loss. On the other hand, the formulated mixture ACT® SG-101, which is focusing on SiGe oxidizer, chemical pH, solvent polarity & corrosion inhibitor for chemical concept, was performed higher selectivity and lower Si loss than commodity chemical. The formulated mixture has also been used to form an inner spacer for cavity etch scheme and confirmed uniform cavity etch and inner spacer filling on topological test structure.


Solid State Phenomena | 2018

Study of the Anisotropic Wet Etching of Nanoscale Structures in Alkaline Solutions

Antoine Pacco; Zainul Aabdin; Utkarsh Anand; Jens Rip; Utkur Mirsaidov; Frank Holsteyns

A qualitative and semi quantitative analysis of anisotropic etching of silicon nanostructures in alkaline solutions was done. Dedicated nanostructures were fabricated on 300mm wafers and their geometric change during wet etching was analyzed, stepwise, by top down SEM or TEM. We challenge the previously described wagon wheel technique towards nanodimensions and describe the pros and cons of the technique using relevant experimental conditions. The formation of specific geometric patterns are explained by the face-specificity of the etch rates. Clear differences in anisotropy were revealed between pillars etched in KOH or in TMAH, and for wagon wheels etched in TMAH or in NH4OH. Finally etch rates were extracted for the different types of crystal planes and compared.


Solid State Phenomena | 2016

Optimization of EUV Reticle Cleaning by Evaluation of Chemistries on Wafer-Based Mimic Test Structures

Antoine Pacco; D. Dattilo; R. Jonckheere; Jens Rip; U. Dietze; J. Kruemberg; Frank Holsteyns

In order to evaluate the effect of repeated cleaning on EUV reticles, specifically, on the etched Mo/Si multilayer, wafer-based test structures with a mimic of this etched Mo/Si multilayer (“black-border”) were fabricated. The resistance of Mo and Si towards alkaline chemistries was tested and quantified using these test structures. The initial passivating film on Mo seems to play a role in delaying the Mo to further oxidize and dissolve in alkaline solutions. For the cleaning times used (minutes) the Mo surface, and thus the black-border edges, will probably stay passivated by that protective oxide in alkaline solution (pH 11), with no or only very limited Mo loss. Stirring and the amount of oxygen or other oxidizing species like H2O2 in solution could increase the oxidation rate of the Mo and/or Mo oxides into soluble Mo(VI) species.

Collaboration


Dive into the Jens Rip's collaboration.

Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Daniel Cuypers

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge