Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masayuki Wada is active.

Publication


Featured researches published by Masayuki Wada.


Japanese Journal of Applied Physics | 2004

Localized Degradation of an MgO Layer in an Over-Frequency Accelerated Discharge of a Plasma Display Panel

Youl-Moon Sung; Masayuki Wada; Masahisa Otsubo; Chikahisa Honda; Chae-Hwa Shon; Young-Kee Kim; Chung-Hoo Park

The experimental and numerical studies of the degradation process of an MgO layer were performed in order to obtain a fundamental guideline for the lifetime evaluation of a plasma display panel (PDP). From the experimental results of an over-frequency accelerated discharge test and surface analyses of the MgO layer, it was found that the degradation process was divided into the sputter-erosion by the bombarding ions and the deposited impurities progressed on the MgO surface during the accelerated discharge. The numerical analysis of the plasma behavior and structure during PDP discharge was performed to explain these experimental results. The localized degradation of the MgO surface was due to the unevenness of the ion bombardment, which was further expedited by the wall charge behavior. The combination of these factors was found to explain the localized degradation process in the MgO layer during the accelerated PDP discharge.


Solid State Phenomena | 2012

Cleaning and Surface Preparation for SiGe and Ge Channel Device

Masayuki Wada; Hiroaki Takahashi; James Snow; Rita Vos; Thierry Conard; Paul Mertens; Hajime Shirakawa

Since silicon will ultimately face physical limitations, germanium and III-V materials, such as Ga, GaAs, InGaAs, are being extensively investigated for their high electron and hole mobility advantages. Prior to implementing germanium or III-V materials, it is believed that SiGe with high Ge concentration will be applied for channel materials in pMOS devices with high-k and metal gates in order to simultaneously adjust the work function and to increase the hole mobility. However, introduction of new channel materials leads to new challenges and substantial changes in the FEOL process flow.


Solid State Phenomena | 2012

Using the Background Signal of a Light Scattering Tool for I/I Photo Resist Strip Optimization and Monitoring

Sandip Halder; Rita Vos; Masayuki Wada; Martine Claes; Karine Kenis; Paul Mertens; Prasanna Dighe; Sanda Radovanovic; Gavin Simpson; Roger Sonnemans

With the continuous decrease of feature size of semiconductor devices new process related challenges must be overcome continuously. One of the key issues for technology development is to have the proper metrology in place to evaluate the myriad process steps fast and accurately. Sometimes the mere existence of a particular metrology is not enough because of cost and throughput issues. The goal of this paper is to show that simply by monitoring the background signal of a light scattering tool, certain process optimizations and monitoring can be done much faster while bringing down the cost significantly. We focus particularly on post I/I strip optimization in this paper.


Solid State Phenomena | 2012

Uniformity of Particle Removal by Aerosol Spray

Xiu Mei Xu; Antoine Pacco; Masayuki Wada; Leonardus Leunissen; H. Struyf; Paul Mertens

In this work the dynamics of particle removal by aerosol spray is investigated. Local dwell time of spray cleaning is calculated numerically from the process conditions, and some striking topological similarities between the particle removal efficiency and dwell time profiles are observed. The particle removal rates, defined as the normalized speed of particle removal, are not constant during a typical process, with the highest removal rate for the first tens of milliseconds and a temporal decay as time elapses. Increasing N2 flow rate results in an enhancement in both the particle removal efficiency and the particle removal rate.


Solid State Phenomena | 2012

Applicable Solvent Photoresist Strip Process for High-K/Metal Gate

Masayuki Wada; Hiroaki Takahashi; Jim Snow; Rita Vos; Paul Mertens; Hajime Shirakawa

In the very near future 32(28)-nm node device technology innovations will enter high volume manufacturing. New materials and structures, e.g. high-k (HK), high-k cap (HK cap), metal gate (MG) and SiGe channel, are being highly considered. Requirements for wet processing are varied according to metal-first or metal-last integration schemes. [1, 2, 3] One of the biggest challenges in wet processing for implementing new materials and structures is to achieve both high selectivity and low substrate loss. At some wet cleaning or etching processes, standard chemicals, e.g. APM, HF and O3, can be accommodated by optimizing the chemical condition. However, photoresist (PR) strip processes require the development of new chemicals or techniques, since SPM does not have sufficient compatibility against presently reported materials. This study focused on the PR strip technique via the dissolution and swelling effects in solvent, and an applicable process technique and its effectiveness for 32(28)-nm and beyond device fabrication is reported.


216th ECS Meeting | 2009

Damage Cluster Analysis of Patterned Wafers during Solvent Spray Cleaning

Sandip Halder; Kurt Wostyn; Michael T. Andreas; Masayuki Wada; Steven Brems; Twan Bearda; Antoine Pacco; Karine Kenis; Rita Vos; Paul Mertens

As device structures become smaller they also become more fragile. With the incorporation of metal-high-k layers and shallower implants galvanic corrosion and substrate loss requirements are becoming an important issue. Aqueous chemistries are ceasing to be an option and new solvent cleans are continuously being experimented with. Physical force assisted solvent cleans are increasingly being considered for the removal of particles and resist residues. Whenever a physical force assisted clean is used damage becomes a major concern. In this work the effect of different solvents spray cleans on defect densities over the wafer will be discussed. The damage sites were further analyzed for clusters. The number of defects per cluster for the different solvents was also analyzed. Finally, the cluster size distribution was evaluated for the different solvents.


Meeting Abstracts | 2009

Cleaning and Strip Requirement for Metal Gate Based CMOS Integration

Tom Schram; Sebai Farid; Martine Cleas; Rita Vos; Masayuki Wada; Johan Albert; Erika Rohr; S. Kubicek


Cleaning and Surface Conditioning Technology in Semiconductor Device Manufacturing 11 | 2009

Lossless solvent-based extension implant strip

Rita Vos; Geert Mannaert; Sandip Halder; Masayuki Wada; Roger Sonnemans; Diana Tsvetanova; Nick Valckx; Kris Vanstreels; Thierry Conard; Paul Mertens


216th ECS Meeting | 2009

Particle Removal and Damage Thresholds from Particle Removal and Damage Formation Frequency for High-Velocity-Aerosol Cleaning

Kurt Wostyn; Masayuki Wada; Michael T. Andreas; Karine Kenis; Philippe Roussel; Twan Bearda; Peter Leunissen; Paul Mertens


216th ECS Meeting | 2009

New Wet Process Strategies for Reduced La2O3 and MgO2 High-k Cap-dielectric Loss

Masayuki Wada; Rita Vos; Martine Claes; Tom Schram; Jim Snow; Paul Mertens; Atsuro Eitoku

Collaboration


Dive into the Masayuki Wada's collaboration.

Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Antoine Pacco

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jim Snow

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Kurt Wostyn

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Tom Schram

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Twan Bearda

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge