Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Bert Brijs is active.

Publication


Featured researches published by Bert Brijs.


Journal of Applied Physics | 2002

Nucleation and growth of atomic layer deposited HfO2 gate dielectric layers on chemical oxide (Si-O-H) and thermal oxide (SiO2 or Si-O-N) underlayers

Martin L. Green; M.Y Ho; B Busch; Glen David Wilk; T Sorsch; Thierry Conard; Bert Brijs; Wilfried Vandervorst; Petri Raisanen; David A. Muller; M. Bude; J Grazul

A study was undertaken to determine the efficacy of various underlayers for the nucleation and growth of atomic layer deposited HfO2 films. These were compared to films grown on hydrogen terminated Si. The use of a chemical oxide underlayer results in almost no barrier to film nucleation, enables linear and predictable growth at constant film density, and the most two-dimensionally continuous HfO2 films. The ease of nucleation is due to the large concentration of OH groups in the hydrous, chemical oxide. HfO2 grows on chemical oxide at a coverage rate of about 14% of a monolayer per cycle, and films are about 90% of the theoretical density of crystalline HfO2. Growth on hydrogen terminated Si is characterized by a large barrier to nucleation and growth, resulting in three-dimensional, rough, and nonlinear growth. Thermal oxide/oxynitride underlayers result in a small nucleation barrier, and nonlinear growth at low HfO2 coverages. The use of chemical oxide underlayers clearly results in the best HfO2 layer...


Applied Physics Letters | 2005

Ternary rare-earth metal oxide high-k layers on silicon oxide

Chao Zhao; Thomas Witters; Bert Brijs; Hugo Bender; O. Richard; Matty Caymax; J. Schubert; V. V. Afanas’ev; Andre Stesmans; D. G. Schlom

Ternary oxides, GdScO3, DyScO3, and LaScO3, deposited by pulsed laser deposition using ceramics targets of stoichiometric composition, were studied as alternative high-k gate dielectrics on (100) Si. Their physical characterization was done using Rutherford backscattering, spectroscopic ellipsometry, x-ray diffraction, and transmission electron microscopy on blanket layers deposited on (100) Si, and electrical characterization on capacitors. It is found that DyScO3 and GdScO3 preserve their amorphous phases up to 1000°C. Other encouraging properties for high k applications were demonstrated, including k-value ∼22, almost no hysteresis or frequency dispersion in C–V curves, and leakage current reduction comparable to that of HfO2 of the same equivalent oxide thickness.


Applied Physics Letters | 2003

Enhanced initial growth of atomic-layer-deposited metal oxides on hydrogen-terminated silicon

Martin M. Frank; Yves J. Chabal; Martin L. Green; Annelies Delabie; Bert Brijs; Glen David Wilk; Mun-Yee Ho; Elisa Brod Oliveira da Rosa; I.J.R. Baumvol; Fernanda Chiarello Stedile

A route is presented for activation of hydrogen-terminated Si(100) prior to atomic layer deposition. It is based on our discovery from in situ infrared spectroscopy that organometallic precursors can effectively initiate oxide growth. Narrow nuclear resonance profiling and Rutherford backscattering spectrometry show that surface functionalization by pre-exposure to 108 Langmuir trimethylaluminum at 300 °C leads to enhanced nucleation and to nearly linear growth kinetics of the high-permittivity gate dielectrics aluminum oxide and hafnium oxide.


Applied Physics Letters | 2004

Evidence on the mechanism of boron deactivation in Ge-preamorphized ultrashallow junctions

Bartek Pawlak; Radu Surdeanu; B. Colombeau; A. J. Smith; N.E.B. Cowern; Richard Lindsay; Wilfried Vandervorst; Bert Brijs; Olivier Richard; F. Cristiano

We investigate the thermal stability of boron-doped junctions formed by Ge preamorphization and solid phase epitaxial regrowth. Isochronal annealing and characterization by sheet resistance, secondary-ion mass spectrometry, and spreading-resistance measurement are used to extract detailed information on the thermal stability of the boron activation. Using a previously established model of self-interstitial defect evolution from clusters to dislocation loops, we perform simulations of the release of interstitials from the end-of-range region. The simulations indicate that the measured deactivation is driven by interstitials emerging from the end-of-range defect region.


Applied Physics Letters | 2004

Deposition of HfO2 on germanium and the impact of surface pretreatments

S. Van Elshocht; Bert Brijs; Matty Caymax; Thierry Conard; T. Chiarella; S. De Gendt; B. De Jaeger; S. Kubicek; Marc Meuris; Bart Onsia; O. Richard; Ivo Teerlinck; J. Van Steenbergen; Chao Zhao; M. Heyns

The deposition behavior of HfO2 by metalorganic chemical vapor deposition on germanium has been investigated. HfO2 films can be deposited on Ge with equally good quality as compared to high-k growth on silicon. Surface preparation is very important: compared to an HF-last, NH3 pretreatments result in smoother films with strongly reduced diffusion of germanium in the HfO2 film, resulting in a much better electrical performance. We clearly show that much thinner interfacial layers can be obtained, approximately half the thickness of what is typically found for depositions on silicon, suggesting the possibility of more aggressive equivalent oxide thickness∕leakage scaling.


Journal of Applied Physics | 2005

Atomic layer deposition of hafnium oxide on germanium substrates

Annelies Delabie; Riikka L. Puurunen; Bert Brijs; Matty Caymax; Thierry Conard; Bart Onsia; Olivier Richard; Wilfried Vandervorst; Chao Zhao; Marc Heyns; Marc Meuris; Minna M. Viitanen; H.H. Brongersma; Marco de Ridder; Lyudmila V. Goncharova; Eric Garfunkel; T. Gustafsson; W. Tsai

Germanium combined with high-κ dielectrics has recently been put forth by the semiconductor industry as potential replacement for planar silicon transistors, which are unlikely to accommodate the severe scaling requirements for sub-45‐nm generations. Therefore, we have studied the atomic layer deposition (ALD) of HfO2 high-κ dielectric layers on HF-cleaned Ge substrates. In this contribution, we describe the HfO2 growth characteristics, HfO2 bulk properties, and Ge interface. Substrate-enhanced HfO2 growth occurs: the growth per cycle is larger in the first reaction cycles than the steady growth per cycle of 0.04nm. The enhanced growth goes together with island growth, indicating that more than a monolayer coverage of HfO2 is required for a closed film. A closed HfO2 layer is achieved after depositing 4–5HfO2 monolayers, corresponding to about 25 ALD reaction cycles. Cross-sectional transmission electron microscopy images show that HfO2 layers thinner than 3nm are amorphous as deposited, while local epita...


Journal of The Electrochemical Society | 2006

Shallow Junction Ion Implantation in Ge and Associated Defect Control

Alessandra Satta; Eddy Simoen; Tom Janssens; Trudo Clarysse; B. De Jaeger; A. Benedetti; I. Hoflijk; Bert Brijs; Marc Meuris; Wilfried Vandervorst

We have studied implant-induced damage, defect annealing, and recrystallization of B, Ga, P, As, and Sb introduced in Ge by ion implantation at high doses, such that dopant chemical concentrations are above the corresponding solubility in Ge, with energies that target about 100-nm junction depths. It is shown that the amount of damage induced in the Ge lattice increases with the mass of the implanted ion, as expected. Implanted B produces local amorphous regions, although crystalline Ge zones are present in the implanted layer. P is a self-amorphizing ion, creating a continuous amorphous layer during implantation. However, a low thermal budget is sufficient to fully regrow the amorphous layer, without evidence of residual extended defects, as evaluated by cross-sectional transmission electron microscopy. Conversely, high concentrations of As cause a significant decrease of the regrowth rate of the damaged layer during rapid thermal annealing in the 400-600°C range studied. Finally, high-dose implantation of heavy ions such as Sb induces dramatic morphologic changes in Ge that are not recovered by post-implant rapid thermal annealing.


Applied Physics Letters | 2000

Epitaxial NiMnSb films on GaAs(001)

W. Van Roy; J. De Boeck; Bert Brijs; Gustaaf Borghs

We show the growth of epitaxial NiMnSb(001) thin films, a half-metallic ferromagnet, on GaAs(001) by molecular-beam epitaxy. The Sb content of the films strongly depends on the Sb flux. Sb-deficient films show a reduced magnetization and the formation of a Mn2As interface layer. Stoichiometric films exhibit the bulk lattice constant, have a saturation magnetization close to the bulk value, and do not suffer from Mn2As formation at the interface. They show in-plane uniaxial anisotropy with nearly square hysteresis loops along the easy axis, which points either along [110] or [110] depending on the growth conditions.


Applied Physics Letters | 2006

Effect of amorphization and carbon co-doping on activation and diffusion of boron in silicon

Bartek Pawlak; Tom Janssens; Bert Brijs; Wilfried Vandervorst; E.J.H Collart; Susan Felch; N.E.B. Cowern

We investigate the impact of amorphization and C co-implantation on B diffusion and activation properties after conventional spike rapid thermal annealing (RTA). We observe that after complete recrystallization at 600°C the B tail deepens by 5nm (at 5×1018at.∕cm3) due to B diffusion in a-Si. After spike RTA it becomes 12nm deeper with respect to an as-implanted profile, which proves that both diffusion mechanisms in a-Si and c-Si are important. However, the B diffusion in c-Si is sensitive to the fraction of substitutional C incorporated into c-Si. The best junction depth is Xj=16.5nm, with abruptness of 2nm/decade and Rs=583Ω∕◻.


Journal of The Electrochemical Society | 2010

Atomic Layer Deposition of Strontium Titanate Films Using Sr ( #2#1Cp ) 2 and Ti ( OMe ) 4

Mihaela Ioana Popovici; S. Van Elshocht; Nicolas Menou; J. Swerts; Dieter Pierreux; Annelies Delabie; Bert Brijs; Thierry Conard; Karl Opsomer; Jochen Maes; Dirk Wouters; Jorge Kittl

Strontium titanate (STO) is a promising candidate as a high-k dielectric for dynamic random access memory application. STO thin films are deposited by atomic layer deposition using Sr( t Bu 3 Cp) 2 , Ti(OMe) 4 , and H 2 O as precursors. Growth and saturation behavior of STO and binary oxides are evaluated by ellipsometry thickness measurements. The precursor pulse ratio controls the amount of Sr and Ti incorporated in STO films. Stoichiometric SrTiO 3 is characterized by the lowest crystallization temperature and largest refractive index, density, and dielectric constant. An excess of Ti or Sr results in an increase in the crystallization onset temperature and contraction or expansion of the cubic cell constant of perovskite SrTiO 3 . Incorporation of more Sr in STO reduces the leakage current density but also increases the capacitance-equivalent thickness.

Collaboration


Dive into the Bert Brijs's collaboration.

Top Co-Authors

Avatar

Wilfried Vandervorst

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Thierry Conard

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Hugo Bender

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Olivier Richard

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Matty Caymax

University of Newcastle

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Tom Janssens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

André Vantomme

Catholic University of Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge