Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Bruce B. Doris is active.

Publication


Featured researches published by Bruce B. Doris.


international electron devices meeting | 2002

Extreme scaling with ultra-thin Si channel MOSFETs

Bruce B. Doris; Meikei Ieong; T. Kanarsky; Ying Zhang; R. Roy; O. Dokumaci; Zhibin Ren; Fen-Fen Jamin; Leathen Shi; Wesley C. Natzle; Hsiang-Jen Huang; J. Mezzapelle; Anda C. Mocuta; S. Womack; M. Gribelyuk; Erin C. Jones; R.J. Miller; H.-S.P. Wong; Wilfried Haensch

We examine the scaling limits for planar single gate technology using the ultra-thin Si channel MOSFET. Characteristics for extreme scaled devices with physical gate lengths down to 6 nm and SOI channels as thin as 4 nm are presented. For the first time, we report ring oscillators with 26 nm gate lengths and ultra-thin Si channels.


symposium on vlsi technology | 2006

A Comparative Study of NBTI and PBTI (Charge Trapping) in SiO2/HfO2 Stacks with FUSI, TiN, Re Gates

Sufi Zafar; Young-Hee Kim; Vijay Narayanan; Cyril Cabral; Vamsi Paruchuri; Bruce B. Doris; James H. Stathis; A. Callegari; Michael P. Chudzik

Threshold voltage (V<sub>t</sub>) of a field effect transistor (FET) is observed to shift with stressing time and this stress induced V <sub>t</sub> shift is an important transistor reliability issue. V<sub>t </sub> shifts that occur under negative gate bias is referred as NBTI and those that occur under positive bias is referred as PBTI or charge trapping. In this paper, we present a comparative study of NBTI and PBTI for a variety of FETs with different dielectric stacks and gate materials. The study has two parts. In part I, NBTI and PBTI measurements are performed for FUSI NiSi gated FETs with SiO<sub>2</sub> SiO<sub>2</sub>/HfO<sub>2</sub> and SiO<sub>2</sub>/HfSiO as gate dielectric stacks and the results are compared with those for conventional SiON/poly-Si FETs. The main results are: (i) NBTI for SiO <sub>2</sub>/NiSi and SiO<sub>2</sub>/HfO<sub>2</sub>/NiSi are same as those conventional SiON/poly-Si FETs; (ii) PBTI significantly increases as the Hf content in the high K layer is increased; and (iii) PBTI is a greater reliability issue than NBTI for HfO<sub>2</sub>/NiSi FETs. In part II of the study, NBTI and PBTI measurements are performed for SiO2/HfO2 devices with TiN and Re as gates and the results are compared with those for NiSi gated FETs. The main results are: (i) NBTI for SiO <sub>2</sub>/HfO<sub>2</sub>/TiN and SiO<sub>2</sub>/HfO<sub>2</sub>/Re pFETs are similar with those observed for NiSi gated pFETs; and (ii) PBTI in TiN and Re gated HfO<sub>2</sub> devices is much smaller than those observed for SiO<sub>2</sub>/HfO<sub>2</sub>/NiSi. In summary for SiO<sub>2</sub>/HfO<sub>2</sub> stacks, NBTI is observed to be independent of gate material whereas PBTI is significantly worse for FUSI gated devices. Consequently, HfO<sub>2</sub> FETs with TiN and Re gates exhibit over all superior transistor reliability characteristics in comparison to HfO<sub>2</sub>/FUSI FETs


international electron devices meeting | 2009

Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Shom Ponoth; J. Kuss; Davood Shahrjerdi; Lisa F. Edge; A. Kimball; Sivananda K. Kanakasabapathy; K. Xiu; Stefan Schmitz; Thomas N. Adam; Hong He; Nicolas Loubet; Steven J. Holmes; Sanjay Mehta; D. Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; B. Haran; Zhengmao Zhu; L. H. Vanamurth; S. Fan; D. Horak; Huiming Bu; Philip J. Oldiges

We present a new ETSOI CMOS integration scheme. The new process flow incorporates all benefits from our previous unipolar work. Only a single mask level is required to form raised source/drain (RSD) and extensions for both NFET and PFET. Another new feature of this work is the incorporation of two strain techniques to boost performance, (1) Si:C RSD for NFET and SiGe RSD for PFET, and (2) enhanced stress liner effect coupling with faceted RSD. Using the new flow and the stress boosters we demonstrate NFET and PFET drive currents of 640 and 490 µA/µm, respectively, at Ioff = 300 pA/µm, VDD = 0.9V, and LG = 25nm. Respectable device performance along with low GIDL makes these devices attractive for low power applications. Record low VT variability is achieved with AVt of 1.25 mV·µm in our high-k/metal-gate ETSOI. The new process flow is also capable of supporting devices with multiple gate dielectric thicknesses as well as analog devices which are demonstrated with excellent transconductance and matching characteristics.


international electron devices meeting | 2009

Challenges and solutions of FinFET integration in an SRAM cell and a logic circuit for 22 nm node and beyond

Hirohisa Kawasaki; Veeraraghavan S. Basker; Tenko Yamashita; Chung Hsun Lin; Yu Zhu; J. Faltermeier; Stefan Schmitz; J. Cummings; Sivananda K. Kanakasabapathy; H. Adhikari; Hemanth Jagannathan; Arvind Kumar; K. Maitra; Junli Wang; Chun-Chen Yeh; Chao Wang; Marwan H. Khater; M. Guillorn; Nicholas C. M. Fuller; Josephine B. Chang; Leland Chang; R. Muralidhar; Atsushi Yagishita; R. Miller; Q. Ouyang; Y. Zhang; Vamsi Paruchuri; Huiming Bu; Bruce B. Doris; Mariko Takayanagi

FinFET integration challenges and solutions are discussed for the 22 nm node and beyond. Fin dimension scaling is presented and the importance of the sidewall image transfer (SIT) technique is addressed. Diamond-shaped epi growth for the raised source-drain (RSD) is proposed to improve parasitic resistance (Rpara) degraded by 3-D structure with thin Si-body. The issue of Vt -mismatch is discussed for continuous FinFET SRAM cell-size scaling.


symposium on vlsi technology | 2006

Band-Edge High-Performance High-k/Metal Gate n-MOSFETs Using Cap Layers Containing Group IIA and IIIB Elements with Gate-First Processing for 45 nm and Beyond

Vijay Narayanan; Vamsi Paruchuri; Nestor A. Bojarczuk; Barry P. Linder; Bruce B. Doris; Young-Hee Kim; Sufi Zafar; James H. Stathis; Stephen L. Brown; J. Arnold; M. Copel; M. Steen; E. Cartier; A. Callegari; P. Jamison; J.-P. Locquet; D. Lacey; Y. Wang; P. Batson; P. Ronsheim; Rajarao Jammy; Michael P. Chudzik

We have fabricated electrically reliable band-edge (BE) high-k/metal nMOSFETs stable to 1000degC, that exhibit the highest mobility (203 cm2/Vs @ 1MV/cm) at the thinnest Tinv (1.4 nm) reported to date. These stacks are formed by capping HfO2 with ultra-thin layers containing strongly electropositive gp. IIA and IIIB elements (e.g. Mg and La), prior to deposition of the TiN/Poly-Si electrode stack, in a conventional gate-first flow. Increasing the cap thickness tunes the Vt/V fb from a midgap position to BE while maintaining high mobility and good PBTI. The addition of La can enhance the effective k value of the dielectric stack, resulting in EOTs < 1nm. Short channel devices with band edge characteristics are demonstrated down to 60 nm. Finally, possible mechanisms to explain the nFET Vt shift are discussed


Applied Physics Letters | 2007

Examination of flatband and threshold voltage tuning of HfO2∕TiN field effect transistors by dielectric cap layers

Supratik Guha; Vamsi Paruchuri; M. Copel; Vijay Narayanan; Yun Y. Wang; P. E. Batson; Nestor A. Bojarczuk; Barry P. Linder; Bruce B. Doris

The authors have examined the role of sub nanometer La2O3 and LaN cap layers interposed in Si∕HfO2∕TiN high-k gate dielectric stacks in tuning the flatband and threshold voltages of capacitors and transistors. High performance, band edge n metal oxide field effect transistors with channel lengths down to 60nm may be fabricated without significant compromise in mobility, electrical thickness, and threshold voltage. They have carried out a microstructural evaluation of these stacks and correlated these results with the electrical behavior of the devices.


symposium on vlsi technology | 2010

A 0.063 µm 2 FinFET SRAM cell demonstration with conventional lithography using a novel integration scheme with aggressively scaled fin and gate pitch

Veeraraghavan S. Basker; Theodorus E. Standaert; Hirohisa Kawasaki; Chun-Chen Yeh; Kingsuk Maitra; Tenko Yamashita; Johnathan E. Faltermeier; H. Adhikari; Hemanth Jagannathan; Junli Wang; H. Sunamura; Sivananda K. Kanakasabapathy; Stefan Schmitz; J. Cummings; A. Inada; Chung-Hsun Lin; Pranita Kulkarni; Yu Zhu; J. Kuss; T. Yamamoto; Arvind Kumar; J. Wahl; Atsushi Yagishita; Lisa F. Edge; R. H. Kim; E. Mclellan; Steven J. Holmes; R. C. Johnson; T. Levin; J. Demarest

We demonstrate the smallest FinFET SRAM cell size of 0.063 µm2 reported to date using optical lithography. The cell is fabricated with contacted gate pitch (CPP) scaled to 80 nm and fin pitch scaled to 40 nm for the first time using a state-of-the-art 300 mm tool set. A unique patterning scheme featuring double-expose, double-etch (DE2) sidewall image transfer (SIT) process is used for fin formation. This scheme also forms differential fin pitch in the SRAM cells, where epitaxial films are used to merge only the tight pitch devices. The epitaxial films are also used for conformal doping of the devices, which reduces the external resistance significantly. Other features include gate-first metal gate stacks and transistors with 25 nm gate lengths with excellent short channel control.


symposium on vlsi technology | 2010

Low leakage and low variability Ultra-Thin Body and Buried Oxide (UT2B) SOI technology for 20nm low power CMOS and beyond

F. Andrieu; O. Weber; J. Mazurier; O. Thomas; J-P. Noel; C. Fenouillet-Beranger; J-P. Mazellier; P. Perreau; T. Poiroux; Y. Morand; T. Morel; S. Allegret; V. Loup; S. Barnola; F. Martin; J-F. Damlencourt; I. Servin; M. Cassé; X. Garros; O. Rozeau; M-A. Jaud; G. Cibrario; J. Cluzel; A. Toffoli; F. Allain; R. Kies; D. Lafond; V. Delaye; C. Tabone; L. Tosti

We fabricated CMOS devices on Ultra-Thin Boby and Buried Oxide SOI wafers using a single mid-gap gate stack. Excellent global, local and intrinsic V<inf>T</inf>-variability performances are obtained (A<inf>VT</inf>=1.45mV.µm). This leads to 6T-SRAM cells with good characteristics down to V<inf>DD</inf>=0.5V supply voltage and with excellent Static Noise Margin (SNM) dispersion across the wafer (σ<inf>SNM</inf><SNM/6) down to V<inf>DD</inf>=0.7V. We also demonstrate ultra-low leakage (<0.5pA/µm) on UT2B devices at L<inf>G</inf>= 30nm by source/back biasing thanks to a low gate current and Gate Induced Drain Lowering (GIDL).


symposium on vlsi technology | 2007

High-performance high-κ/metal gates for 45nm CMOS and beyond with gate-first processing

Michael P. Chudzik; Bruce B. Doris; Renee T. Mo; Jeffrey W. Sleight; E. Cartier; C. Dewan; Dae-Gyu Park; Huiming Bu; W. Natzle; W. Yan; C. Ouyang; K. Henson; Diane C. Boyd; S. Callegari; R. Carter; D. Casarotto; Michael A. Gribelyuk; M. Hargrove; W. He; Young-Hee Kim; Barry P. Linder; Naim Moumen; Vamsi Paruchuri; J. Stathis; M. Steen; A. Vayshenker; X. Wang; Sufi Zafar; Takashi Ando; Ryosuke Iijima

Gate-first integration of band-edge (BE) high-κ/metal gate nFET devices with dual stress liners and silicon-on-insulator substrates for the 45nm node and beyond is presented. We show the first reported demonstration of improved short channel control with high-κ/metal gates (HK/MG) enabled by the thinnest Tinv (≪12Å) for BE nFET devices to-date, consistent with simulations showing the need for ≪14Å Tinv at Lgate≪35nm. We report the highest BE HK/MG nFET Idsat values at 1.0V operation. We also show for the first time BE high-κ/metal gate pFETs fabricated with gate-first high thermal budget processing with thin Tinv (≪13Å) and low Vts appropriate for pFET devices. The reliability in these devices was found to be consistent with technology requirements. Integration of high-κ/metal gate nFETs into CMOS devices yielded large SRAM arrays.


international electron devices meeting | 2008

22 nm technology compatible fully functional 0.1 μm 2 6T-SRAM cell

Bala Haran; Arvind Kumar; L. Adam; Josephine B. Chang; Veeraraghavan S. Basker; Sivananda K. Kanakasabapathy; Dave Horak; S. Fan; Jia Chen; J. Faltermeier; Soon-Cheon Seo; M. Burkhardt; S. Burns; S. Halle; Steven J. Holmes; Richard Johnson; E. McLellan; T. Levin; Yu Zhu; J. Kuss; A. Ebert; J. Cummings; Donald F. Canaperi; S. Paparao; John C. Arnold; T. Sparks; C. S. Koay; T. Kanarsky; Stefan Schmitz; Karen Petrillo

We demonstrate 22 nm node technology compatible, fully functional 0.1 mum2 6T-SRAM cell using high-NA immersion lithography and state-of-the-art 300 mm tooling. The cell exhibits a static noise margin (SNM) of 220 mV at Vdd=0.9 V. We also present a 0.09 mum2 cell with SNM of 160 mV at Vdd=0.9 V demonstrating the scalability of the design with the same layout. This is the worlds smallest 6T-SRAM cell. Key enablers include band edge high-kappa metal gate stacks, transistors with 25 nm gate lengths, thin spacers, novel co-implants, advanced activation techniques, extremely thin silicide, and damascene copper contacts.

Collaboration


Dive into the Bruce B. Doris's collaboration.

Researchain Logo
Decentralizing Knowledge