Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Pranita Kulkarni is active.

Publication


Featured researches published by Pranita Kulkarni.


international electron devices meeting | 2009

Extremely thin SOI (ETSOI) CMOS with record low variability for low power system-on-chip applications

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Shom Ponoth; J. Kuss; Davood Shahrjerdi; Lisa F. Edge; A. Kimball; Sivananda K. Kanakasabapathy; K. Xiu; Stefan Schmitz; Thomas N. Adam; Hong He; Nicolas Loubet; Steven J. Holmes; Sanjay Mehta; D. Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; B. Haran; Zhengmao Zhu; L. H. Vanamurth; S. Fan; D. Horak; Huiming Bu; Philip J. Oldiges

We present a new ETSOI CMOS integration scheme. The new process flow incorporates all benefits from our previous unipolar work. Only a single mask level is required to form raised source/drain (RSD) and extensions for both NFET and PFET. Another new feature of this work is the incorporation of two strain techniques to boost performance, (1) Si:C RSD for NFET and SiGe RSD for PFET, and (2) enhanced stress liner effect coupling with faceted RSD. Using the new flow and the stress boosters we demonstrate NFET and PFET drive currents of 640 and 490 µA/µm, respectively, at Ioff = 300 pA/µm, VDD = 0.9V, and LG = 25nm. Respectable device performance along with low GIDL makes these devices attractive for low power applications. Record low VT variability is achieved with AVt of 1.25 mV·µm in our high-k/metal-gate ETSOI. The new process flow is also capable of supporting devices with multiple gate dielectric thicknesses as well as analog devices which are demonstrated with excellent transconductance and matching characteristics.


symposium on vlsi technology | 2010

A 0.063 µm 2 FinFET SRAM cell demonstration with conventional lithography using a novel integration scheme with aggressively scaled fin and gate pitch

Veeraraghavan S. Basker; Theodorus E. Standaert; Hirohisa Kawasaki; Chun-Chen Yeh; Kingsuk Maitra; Tenko Yamashita; Johnathan E. Faltermeier; H. Adhikari; Hemanth Jagannathan; Junli Wang; H. Sunamura; Sivananda K. Kanakasabapathy; Stefan Schmitz; J. Cummings; A. Inada; Chung-Hsun Lin; Pranita Kulkarni; Yu Zhu; J. Kuss; T. Yamamoto; Arvind Kumar; J. Wahl; Atsushi Yagishita; Lisa F. Edge; R. H. Kim; E. Mclellan; Steven J. Holmes; R. C. Johnson; T. Levin; J. Demarest

We demonstrate the smallest FinFET SRAM cell size of 0.063 µm2 reported to date using optical lithography. The cell is fabricated with contacted gate pitch (CPP) scaled to 80 nm and fin pitch scaled to 40 nm for the first time using a state-of-the-art 300 mm tool set. A unique patterning scheme featuring double-expose, double-etch (DE2) sidewall image transfer (SIT) process is used for fin formation. This scheme also forms differential fin pitch in the SRAM cells, where epitaxial films are used to merge only the tight pitch devices. The epitaxial films are also used for conformal doping of the devices, which reduces the external resistance significantly. Other features include gate-first metal gate stacks and transistors with 25 nm gate lengths with excellent short channel control.


international electron devices meeting | 2012

UTBB FDSOI transistors with dual STI for a multi-V t strategy at 20nm node and below

L. Grenouillet; M. Vinet; J. Gimbert; B. Giraud; J. P. Noël; Qing Liu; Prasanna Khare; M. A. Jaud; Y. Le Tiec; Romain Wacquez; T. Levin; P. Rivallin; Steven J. Holmes; S. Liu; K. J. Chen; O. Rozeau; P. Scheiblin; E. McLellan; M. Malley; J. Guilford; A. Upham; Richard Johnson; M. Hargrove; Terence B. Hook; Stefan Schmitz; Sanjay Mehta; J. Kuss; Nicolas Loubet; S. Teehan; M. Terrizzi

We introduce an innovative dual-depth shallow trench isolation (dual STI) scheme for Ultra Thin Body and BOX (UTBB) FDSOI architecture. Since in the dual STI configuration wells are isolated from one another by the deepest trenches, this architecture enables a full use of the back bias while staying compatible with both standard bulk design and conventional SOI substrates. We demonstrate in 20nm ground rules that we are able to tune Vt by more than 400mV, that transistor performance can be boosted by up to 30% and that Ioff can be controlled over 3 decades by allowing more than VDD/2 to be applied on the back gate.


symposium on vlsi technology | 2010

Ultra-thin-body and BOX (UTBB) fully depleted (FD) device integration for 22nm node and beyond

Qing Liu; Atsushi Yagishita; Nicolas Loubet; Ali Khakifirooz; Pranita Kulkarni; Toyoji Yamamoto; Kangguo Cheng; M. Fujiwara; J. Cai; D. Dorman; Sanjay Mehta; Prasanna Khare; K. Yako; Yu Zhu; S. Mignot; Sivananda K. Kanakasabapathy; S. Monfray; F. Boeuf; Charles W. Koburger; H. Sunamura; Shom Ponoth; Balasubramanian S. Haran; A. Upham; Richard Johnson; Lisa F. Edge; J. Kuss; T. Levin; N. Berliner; Effendi Leobandung; T. Skotnicki

We present UTBB devices with a gate length (L<inf>G</inf>) of 25nm and competitive drive currents. The process flow features conventional gate-first high-k/metal and raised source/drains (RSD). Back bias (V<inf>bb</inf>) enables V<inf>t</inf> modulation of more than 125mV with a V<inf>bb</inf> of 0.9V and BOX thickness of 12nm. This demonstrates the importance and viability of the UTBB structure for multi-V<inf>t</inf> and power management applications. We explore the impact of GP, BOX thickness and V<inf>bb</inf> on local V<inf>t</inf> variability for the first time. Excellent A<inf>Vt</inf> of 1.27 mV·µm is achieved. We also present simulations results that suggest UTBB has improved scalability, reduced gate leakage (I<inf>g</inf>) and lower external resistance (R<inf>ext</inf>), thanks to a thicker inversion gate dielectric (T<inf>inv</inf>) and body (T<inf>si</inf>) thickness.


IEEE Electron Device Letters | 2011

Aggressively Scaled Strained-Silicon-on-Insulator Undoped-Body High-

Kingsuk Maitra; Ali Khakifirooz; Pranita Kulkarni; Veeraraghavan S. Basker; Jonathan Faltermeier; Hemanth Jagannathan; Hemant Adhikari; Chun-Chen Yeh; Nancy Klymko; Katherine L. Saenger; Theodorus E. Standaert; Robert J. Miller; Bruce B. Doris; Vamsi Paruchuri; Dale McHerron; James O'Neil; Effendi Leobundung; Huiming Bu

Strained-silicon-on-insulator (SSOI) undoped-body high-κ /metal-gate n-channel fin-shaped field-effect transistors (nFinFETs) at scaled gate lengths and pitches (i.e.,<i>L</i><sub>GATE</sub> ~ 25 nm and a contacted gate pitch of 130 nm) were fabricated using a gate-first flow. A “long and narrow” fin layout (i.e., fin length ~ 1 μm) was leveraged to preserve uniaxial tensile strain in the transistors. These devices exhibit drive currents suitable for high-performance logic technology. The change in the slope of <i>R</i><sub>ON</sub> - <i>L</i><sub>GATE</sub> (dR<sub>ON</sub>/dL<sub>GATE</sub>), transconductance <i>G</i><sub>MSAT</sub>, and injection velocity (<i>v</i><sub>inj</sub>) measurements indicate a ~ 15% mobility-induced <i>I</i><sub>ON</sub> enhancement with SSOI relative to SOI nFinFETs at ultrashort gate lengths. Raman measurements conducted on SSOI substrates after fin formation demonstrate the preservation of ~ 1.3-GPa uniaxial tensile strain even after 1100°C annealing.


international solid-state circuits conference | 2010

\kappa

Ali Khakifirooz; Kangguo Cheng; Basanth Jagannathan; Pranita Kulkarni; Jeffrey W. Sleight; Davood Shahrjerdi; Josephine B. Chang; Sungjae Lee; Junjun Li; Huiming Bu; Robert J. Gauthier; Bruce B. Doris; Ghavam G. Shahidi

Extremely thin SOI (ETSOI) MOSFET is an attractive candidate for 22nm technology and beyond due to its excellent short channel control, low leakage current, and immunity to random dopant fluctuation [1–5]. Short channel effects are mainly controlled by channel thickness, so there is no need for aggressive scaling of the gate dielectric. Thus the gate leakage is reduced beyond what is achievable in high-k bulk technologies. Low-power operation is further enhanced by negligible GIDL current due to the undoped channel. In addition, ETSOI devices have inherently no junction leakage by the virtue of thin silicon channel. Higher gate voltage overdrive is achieved for a given supply voltage compared to bulk technologies due to smaller subthreshold slope. This enables low-VDD logic operation. Moreover, low-VDD SRAM functionality is supported by small VT- mismatch in undoped channel [5]. In conventional CMOS technologies, complete device redesign is needed if VT changes are required. In ETSOI, however, threshold voltage is tuned through gate workfunction modulation without change in the channel doping. Thus VT tuning is to a large extent decoupled from device scaling.


IEEE Electron Device Letters | 2012

/Metal-Gate nFinFETs for High-Performance Logic Applications

Ali Khakifirooz; Kangguo Cheng; Thomas N. Adam; Nicolas Loubet; Hong He; J. Kuss; Juntao Li; Pranita Kulkarni; Shom Ponoth; Raghavasimhan Sreenivasan; Qing Liu; Bruce B. Doris; Ghavam G. Shahidi

We report high-performance extremely thin SOI MOSFETs fabricated with a channel thickness down to 3.5 nm, sub-20-nm gate length, and contacted gate pitch of 100 nm. At an effective channel length of 18 nm, a drain-induced barrier lowering of 100 mV is achieved by either thinning the channel to 3.5 nm or by applying a reverse back-gate bias to 6-nm channel MOSFETs. Moreover, minimal increase in series resistance is seen when the channel is scaled to 3.5 nm, resulting in no performance degradation with SOI thickness scaling.


international soi conference | 2010

Fully depleted extremely thin SOI for mainstream 20nm low-power technology and beyond

Kangguo Cheng; Ali Khakifirooz; Pranita Kulkarni; Shom Ponoth; J. Kuss; Lisa F. Edge; A. Kimball; Sivananda K. Kanakasabapathy; Stefan Schmitz; Thomas N. Adam; Hong He; Sanjay Mehta; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Balasubramanian S. Haran; Zhengmao Zhu; S. Fan; Huiming Bu; Devendra K. Sadana; P. Kozlowski; J. O'Neill; Bruce B. Doris; Ghavam G. Shahidi

As the mainstream bulk devices face formidable challenges to scale beyond 20nm node, there is an increasingly renewed interest in fully depleted devices for continued CMOS scaling. In this paper, we provide an overview of extremely thin SOI (ETSOI), a viable fully depleted device architecture for future technology. Barriers that prevented ETSOI becoming a mainstream technology in the past are specified and solutions to overcome those barriers are provided.


symposium on vlsi technology | 2012

Scalability of Extremely Thin SOI (ETSOI) MOSFETs to Sub-20-nm Gate Length

Ali Khakifirooz; Kangguo Cheng; T. Nagumo; Nicolas Loubet; Thomas N. Adam; J. Kuss; Davood Shahrjerdi; Raghavasimhan Sreenivasan; Shom Ponoth; Hong He; Pranita Kulkarni; Qing Liu; Pouya Hashemi; Prasanna Khare; S. Luning; Sanjay Mehta; J. Gimbert; Yu Zhu; Zhengmao Zhu; Jing Li; Anita Madan; T. Levin; F. Monsieur; T. Yamamoto; S. Naczas; Stefan Schmitz; Steven J. Holmes; C. Aulnette; N. Daval; W. Schwarzenbach

High-performance strain-engineered ETSOI devices are reported. Three methods to boost the performance, namely contact strain, strained SOI (SSDOI) for NFET, and SiGe-on-insulator (SGOI) for PFET are examined. Significant performance boost is demonstrated with competitive drive currents of 1.65mA/μm and 1.25mA/μm, and I<sub>eff</sub> of 0.95mA/μm and 0.70mA/μm at I<sub>off</sub> =100nA/μm and V<sub>DD</sub> of 1V, for NFET and PFET, respectively.


symposium on vlsi technology | 2010

Extremely thin SOI (ETSOI) technology: Past, present, and future

Ali Khakifirooz; Kangguo Cheng; Pranita Kulkarni; Jin Cai; Shom Ponoth; J. Kuss; Balasubramanian S. Haran; A. Kimball; Lisa F. Edge; Thomas N. Adam; Hong He; Nicolas Loubet; Sanjay Mehta; Sivananda K. Kanakasabapathy; Stefan Schmitz; Steven J. Holmes; Basanth Jagannathan; Amlan Majumdar; Daewon Yang; A. Upham; Soon-Cheon Seo; J. L. Herman; Richard Johnson; Yu Zhu; P. Jamison; Zhengmao Zhu; L. H. Vanamurth; Johnathan E. Faltermeier; S. Fan; D. Horak

Extremely thin SOI (ETSOI) MOSFET is a viable option for future CMOS scaling owing to superior short-channel control and immunity to random dopant fluctuation. However, challenges of ETSOI integration have so far hindered its adoption for mainstream CMOS. This is especially true for low-power applications, where SOI wafer cost is deemed to significantly add to the total cost. We have recently reported a novel integration scheme to overcome some of the major ETSOI manufacturing issues such as difficulty in doping thin silicon layer, process induced silicon loss, and the dilemma of reduction of external resistance and the increase of parasitic capacitance [1, 2]. The proposed integration flow significantly simplifies device processing and leads to considerable reduction in the number of critical masks [2].

Researchain Logo
Decentralizing Knowledge