Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Chandra Tirumurti is active.

Publication


Featured researches published by Chandra Tirumurti.


design, automation, and test in europe | 2004

A modeling approach for addressing power supply switching noise related failures of integrated circuits

Chandra Tirumurti; Sandip Kundu; Susmita Sur-Kolay; Yi-Shing Chang

Power density of high-end microprocessors has been increasing by approximately 80% per technology generation, while the voltage is scaling by a factor of 0.8. This leads to 225% increase in current per unit area in successive generation of technologies. The cost of maintaining the same IR drop becomes too high. This leads to compromise in power delivery and power grid becomes a performance limiter. Traditional performance related test techniques with transition and path delay fault models focus on testing the logic but not the power delivery. In this paper we view power grid as performance limiter and develop a fault model to address the problem of vector generation for delay faults arising out of power delivery problems. A fault extraction methodology applied to a microprocessor design block is explained.


IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems | 2005

On modeling crosstalk faults

Sandip Kundu; Sujit T. Zachariah; Yi-Shing Chang; Chandra Tirumurti

Traditionally, digital testing of integrated semiconductor circuits has focused on manufacturing defects. There is another class of failures that happens due to circuit marginalities. Circuit-marginality failures are on the rise due to shrinking process geometries, diminishing supply voltage, sharper signal-transition rates, and aggressive styles in circuit design. There are many different marginality issues that may render a circuit nonoperational. Capacitive cross coupling between interconnects is known to be a leading cause for marginality-related failures. In this paper, we present novel techniques to model and prioritize capacitive crosstalk faults. Experimental results are provided to show effectiveness of the proposed modeling technique on large industrial designs.


vlsi test symposium | 2009

RT-Level Deviation-Based Grading of Functional Test Sequences

Hongxia Fang; Krishnendu Chakrabarty; Abhijit Jas; Srinivas Patil; Chandra Tirumurti

Functional test sequences are often used in manufacturing testing to target defects that are not detected by structural test. Therefore, it is necessary to evaluate the quality of functional test sequences. However, it is very time-consuming to evaluate the quality of functional test sequences by gate-level fault simulation. Therefore, we propose output deviations as a metric to grade functional test sequences at the register transfer (RT)-level without explicit fault simulation. Experimental results for the open-source Parwan processor and the Scheduler module of the Illinois Verilog Model (IVM) show that the deviations metric is computationally ef¿cient and it correlates well with gate-level coverage for stuck-at, transition-delay, and bridging faults. Results also show that functional test sequences that are reordered based on output deviations provide steeper gate-level fault coverage ramp-up compared to other ordering methods.


european test symposium | 2011

AVF Analysis Acceleration via Hierarchical Fault Pruning

Michail Maniatakos; Chandra Tirumurti; Abhijit Jas; Yiorgos Makris

The notion of Architectural Vulnerability Factor (AVF) has been extensively used by designers to evaluate various aspects of design robustness. While AVF is a very accurate way of assessing element resiliency, its calculation requires rigorous and extremely time-consuming experiments. In response, designers have introduced various methodologies that allow AVF calculation within reasonable time, at the cost of some loss of accuracy. In this paper, we present a method for calculating the AVF of design elements-using Statistical Fault Injection (SFI)-with equal accuracy but several orders of magnitude faster than traditional SFI techniques. Our method partitions the design into various hierarchical levels and systematically performs incremental fault injections to generate the AVF numbers. The presented method has been applied on an Intel microprocessor, where experimental results corroborate its ability to achieve great speed-up while maintaining perfect accuracy in calculating AVF.


international conference on computer design | 2009

Impact analysis of performance faults in modern microprocessors

Naghmeh Karimi; Michail Maniatakos; Chandra Tirumurti; Abhijit Jas; Yiorgos Makris

Towards improving performance, modern microprocessors incorporate a variety of architectural features, such as branch prediction and speculative execution, which are not critical to the correctness of their operation. While faults in the corresponding hardware may not necessarily affect functional correctness, they may, nevertheless, adversely impact performance. In this paper, we investigate quantitatively the performance impact of such faults using a superscalar, dynamically-scheduled, out-of-order, Alpha-like microprocessor, on which we execute SPEC2000 integer benchmarks. We provide extensive fault simulation-based experimental results and we discuss how this information may guide the inclusion of additional hardware for performance loss recovery and yield enhancement.


defect and fault tolerance in vlsi and nanotechnology systems | 2008

Design and Evaluation of a Timestamp-Based Concurrent Error Detection Method (CED) in a Modern Microprocessor Controller

Michail Maniatakos; Naghmeh Karimi; Yiorgos Makris; Abhijit Jas; Chandra Tirumurti

This paper presents a concurrent error detection technique for the control logic of a modern microprocessor. Our method is based on execution time prediction for each instruction executing in the processor. To evaluate the proposed method, we use a superscalar, dynamically-scheduled, out-of-order, Alpha-like microprocessor, on which we execute SPEC2000 integer benchmarks and we consider the coverage and the detection latency for faults in the scheduler module of the microprocessor controller. Experimental results show that through this method, a large percentage of control logic faults can be detected with low latency during normal operation of the processor.


defect and fault tolerance in vlsi and nanotechnology systems | 2007

Estimating Error Propagation Probabilities with Bounded Variances

Hossein Asadi; Mehdi Baradaran Tahoori; Chandra Tirumurti

Fast and accurate estimation of soft error rate (SER) is essential in obtaining the reliability parameters of a digital system and cost-effective reliability improvements. In this paper we present an approach to obtain uncertainty bounds on the error propagation probability (EPP) values used in SER estimation based on an analytical approach. We demonstrate how we can compute EPP values and their uncertainty bounds (variances) by examining the logic gates in a topological order. Comparison of this method with the Monte-Carlo (MC) fault simulation approach confirms the accuracy of the presented technique for both the computed EPP values and uncertainty bounds. Also, this technique is 3-5 orders of magnitude faster than fault simulation.


IEEE Transactions on Computers | 2015

Revisiting Vulnerability Analysis in Modern Microprocessors

Michail Maniatakos; Maria K. Michael; Chandra Tirumurti; Yiorgos Makris

The notion of Architectural Vulnerability Factor (AVF) has been extensively used to evaluate various aspects of design robustness. While AVF has been a very popular way of assessing element resiliency, its calculation requires rigorous and extremely time-consuming experiments. Furthermore, recent radiation studies in 90 nm and 65 nm technology nodes demonstrate that up to 55 percent of Single Event Upsets (SEUs) result in Multiple Bit Upsets (MBUs), and thus the Single Bit Flip (SBF) model employed in computing AVF needs to be reassessed. In this paper, we present a method for calculating the vulnerability of modern microprocessors -using Statistical Fault Injection (SFI)- several orders of magnitude faster than traditional SFI techniques, while also using more realistic fault models which reflect the existence of MBUs. Our method partitions the design into various hierarchical levels and systematically performs incremental fault injections to generate vulnerability estimates. The presented method has been applied on an Intel microprocessor and an Alpha 21264 design, accelerating fault injection by 15×, on average, and reducing computational cost for investigating the effect of MBUs. Extensive experiments, focusing on the effect of MBUs in modern microprocessors, corroborate that the SBF model employed by current vulnerability estimation tools is not sufficient to accurately capture the increasing effect of MBUs in contemporary processes.


european test symposium | 2013

Novel approach to reduce power droop during scan-based logic BIST

Martin Omana; Daniele Rossi; Filippo Fuzzi; Cecilia Metra; Chandra Tirumurti; R. Galivache

Significant peak power (PP), thus power droop (PD), during test is a serious concern for modern, complex ICs. In fact, the PD originated during the application of test vectors may produce a delay effect on the circuit under test signal transitions. This event may be erroneously recognized as presence of a delay fault, with consequent generation of an erroneous test fail, thus increasing yield loss. Several solutions have been proposed in the literature to reduce the PD during test of combinational ICs, while fewer approaches exist for sequential ICs. In this paper, we propose a novel approach to reduce peak power/power droop during test of sequential circuits with scan-based Logic GIST. In particular, our approach reduces the switching activity of the scan chains between following capture cycles. This is achieved by an original generation and arrangement of test vectors. The proposed approach presents a very low impact on fault coverage and test time, while requiring a very low cost in terms of area overhead.


design, automation, and test in europe | 2003

On modeling cross-talk faults [VLSI circuits]

Sujit T. Zachariah; Yi-Shing Chang; Sandip Kundu; Chandra Tirumurti

Circuit marginality failures in high performance VLSI circuits are projected to increase due to shrinking process geometries and high frequency design techniques. Capacitive cross coupling between interconnects is known to be a prime contributor to such failures. In this paper, we present novel techniques to model and prioritize capacitive cross-talk faults. Experimental results are provided to show effectiveness of the proposed modeling technique on industrial circuits.

Collaboration


Dive into the Chandra Tirumurti's collaboration.

Top Co-Authors

Avatar

Yiorgos Makris

University of Texas at Dallas

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Sandip Kundu

University of Massachusetts Amherst

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Daniele Rossi

University of Southampton

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Sujit T. Zachariah

State University of New York System

View shared research outputs
Researchain Logo
Decentralizing Knowledge