Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Charles N. Archie is active.

Publication


Featured researches published by Charles N. Archie.


Metrology, Inspection, and Process Control for Microlithography XVII | 2003

Scatterometry measurement precision and accuracy below 70 nm

Matthew Sendelbach; Charles N. Archie

Scatterometry is a contender for various measurement applications where structure widths and heights can be significantly smaller than 70 nm within one or two ITRS generations. For example, feedforward process control in the post-lithography transistor gate formation is being actively pursued by a number of RIE tool manufacturers. Several commercial forms of scatterometry are available or under development which promise to provide satisfactory performance in this regime. Scatterometry, as commercially practiced today, involves analyzing the zeroth order reflected light from a grating of lines. Normal incidence spectroscopic reflectometry, 2-theta fixed-wavelength ellipsometry, and spectroscopic ellipsometry are among the optical techniques, while library based spectra matching and realtime regression are among the analysis techniques. All these commercial forms will find accurate and precise measurement a challenge when the material constituting the critical structure approaches a very small volume. Equally challenging is executing an evaluation methodology that first determines the true properties (critical dimensions and materials) of semiconductor wafer artifacts and then compares measurement performance of several scatterometers. How well do scatterometers track process induced changes in bottom CD and sidewall profile? This paper introduces a general 3D metrology assessment methodology and reports upon work involving sub-70 nm structures and several scatterometers. The methodology combines results from multiple metrologies (CD-SEM, CD-AFM, TEM, and XSEM) to form a Reference Measurement System (RMS). The methodology determines how well the scatterometry measurement tracks critical structure changes even in the presence of other noncritical changes that take place at the same time; these are key components of accuracy. Because the assessment rewards scatterometers that measure with good precision (reproducibility) and good accuracy, the most precise scatterometer is not necessarily the best.


Measurement Science and Technology | 2011

Review of current progress in nanometrology with the helium ion microscope

Michael T. Postek; Andras Vladar; Charles N. Archie; Bin Ming

Scanning electron microscopy has been employed as an imaging and measurement tool for more than 50 years and it continues as a primary tool in many research and manufacturing facilities across the world. A new challenger to this work is the helium ion microscope (HIM). The HIM is a new imaging and metrology technology. Essentially, substitution of the electron source with a helium ion source yields a tool visually similar in function to the scanning electron microscope, but very different in the fundamental imaging and measurement process. The imaged and measured signal originates differently than in the scanning electron microscope and that fact and its single atom source diameter may be able to push the obtainable resolution lower, provide greater depth-of-field and ultimately improve the metrology. Successful imaging and metrology with this instrument entails understanding and modeling of new ion beam/specimen interaction physics. As a new methodology, HIM is beginning to show promise and the abundance of potentially advantageous applications for nanometrology has yet to be fully exploited. This paper discusses some of the progress made at NIST in collaboration with IBM to understand the science behind this new technology.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Feedforward of mask open measurements on an integrated scatterometer to improve gate linewidth control

Matthew Sendelbach; Wesley C. Natzle; Charles N. Archie; Bill Banke; Dan Prager; Dan Engelhard; Jason Ferns; Asao Yamashita; Merritt Funk; Fumihiko Higuchi; Masayuki Tomoyasu

As feature geometries decrease, the budgeted error for process variations decreases as well. Keeping these variations within budget is especially important in the area of gate linewidth control. Because of this, wafer-to-wafer control of gate linewidth becomes increasingly necessary. This paper shows results from 300 mm wafers with 90 nm technology that were trimmed during the gate formation process on an etch platform. After the process that opened the gate hard mask and stripped the resist, the wafers were measured using both an integrated scatterometer and a stand-alone CD-SEM. The measurements were then used to determine the appropriate amount to be trimmed by the Chemical Oxide Removal (COR) chamber that is also integrated onto the etch system. After the wafers were trimmed and etched, they were again measured on the integrated scatterometer and stand-alone CD-SEM. With the CD-SEM as the Reference Measurement System (RMS), Total Measurement Uncertainty (TMU) analysis was used to optimize the Optical Digital Profilometry (ODP) model, thus facilitating a significant reduction in gate linewidth variation. Because the measurement uncertainty of the scatterometer was reduced to a level approaching or below that of the RMS, an improvement to TMU analysis was developed. This improvement quantifies methods for determining the measurement uncertainty of the RMS under a variety of situations.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Characteristics of accuracy for CD metrology

George W. Banke; Charles N. Archie

The evaluation of a critical dimension (CD) metrology tool foes beyond determining precision. A year ago at this conference, a paper was presented which described the efforts of the SEMATECH metrology group to describe the characteristics of a CD metrology SEM. In that referenced paper there was a description of accuracy, or characteristics of accuracy, that needed evaluation as well. In this paper these characteristics are further developed. Tool evaluation for accuracy requires well characterized artifacts. Constructing these share many of the same hurdles as constructing true standards. Evaluation artifacts must have properties that vary similarly to the products to be measured in the manufacturing line. They also must be characterized by a reference measurement system (RMS) sufficiently well to make the result of an evaluation reflect upon the tool under investigation and not the RMS. This paper details the construction of such an artifact using SEM measurements of cross sections as part of the RMS and the use of this artifact in the evaluation of several CD SEMs. Application of this project to SEMATECH evaluation artifacts currently under construction is also discussed.


26th Annual International Symposium on Microlithography | 2001

Investigation on the mechanism of the 193-nm resist linewidth reduction during the SEM measurement

ChungHsi J. Wu; Wu-Song Huang; K. Rex Chen; Charles N. Archie; Mark E. Lagus

Linewidth reduction (or line slimming) of resist features has been previously observed during routine scanning electron microscopy (SEM). The impact of the linewidth reduction may result in measurement precision and accuracy errors and potential device reliability issues due to permanent feature deformation. The magnitude and the origin of these effects for various resist platforms are not well understood. In this study the measurement of the extent of slimming is performed on two 193nm single layer resist (SLR) platforms, including an acrylate based SLR and a polynorborene (poly-CO) based SLR. The maximum shrinkage is found to be approximately 15% and varies as a function of resist composition and electron beam landing energy and flux. Mechanisms for the resist shrinkage that impact both the physical (e.g., annealing or solvent loss) and chemical (e.g., bond scission, cross-linking, fragmentation, or deprotection) properties are evaluated. Potential methods for reducing the slimming effect are proposed in this paper.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Correlating scatterometry to CD-SEM and electrical gate measurements at the 90-nm node using TMU analysis

Matthew Sendelbach; Charles N. Archie; Bill Banke; Jason Mayer; Hideaki Nii; Pedro Herrera; Matt Hankinson

Currently, CD-SEMs are the tool of choice for in-line gate length measurements for most semiconductor manufacturers. This is in large part due to their flexibility, throughput, and ability to correlate well to physical measurements (e.g., XSEM). However, scatterometry is being used by an increasing number of manufacturers to monitor and control gate lengths. But can a scatterometer measure such small critical dimensions well enough? This paper explores this question by analyzing data taken from wafers processed using 90 nm node technology. These wafers were measured after gate formation (gate final CD) using a CD-SEM as well as a scatterometer. They were then processed into the back-end-of-line and measured electrically. This electrical measurement, called Lpoly, is an important parametric device measurement and is used to screen product before it reaches final electrical test. It is therefore critical for the in-line metrology immediately after gate formation to have excellent correlation to Lpoly. Analysis shows that the scatterometer correlates well to both in-line CD-SEM measurements across multiple structures as well as electrical Lpoly measurements. More importantly, the scatterometer is shown to be approximately equivalent to the CD-SEM when both are correlated to Lpoly. Since several scatterometry targets with different pitches were measured, the amount of correlation as a function of pitch is also investigated. Because traditional methods of correlation, such as Ordinary Least Squares (OLS), have severe limitations, Total Measurement Uncertainty (TMU) analysis is used as a highly effective assessment methodology. This paper also shows how TMU analysis is used to improve the scatterometry model and understand the relative contributions from obstacles that hinder the achievement of even better correlations.


IEEE Transactions on Semiconductor Manufacturing | 2007

Value-Added Metrology

Benjamin Bunday; John Allgair; Mark Caldwell; Eric P. Solecky; Charles N. Archie; Bryan J. Rice; Bhanwar Singh; Jason P. Cain; Iraj Emami

The conventional premise, long-touted among the semiconductor processing community, that metrology is a ldquonon-value-added necessary evil,rdquo is a misleading and dangerous assertion, which must be viewed as obsolete thinking. Many metrology applications are key enablers to traditionally labeled ldquovalue-addedrdquo processing steps in lithography and etch, such that they can be considered integral parts of the processes. Various key trends in modern, state-of-the-art processing such as optical proximity correction, design for manufacturability, and advanced process control are based, at their hearts, on the assumption of fine-tuned metrology, in terms of uncertainty and accuracy. These trends are vehicles where metrology thus has large opportunities to create value through the engineering of tight and targetable process distributions. Such distributions make possible predictability in speed-sorts and in other parameters, which results in a high-end product. Additionally, significant reliance has also been placed on defect metrology to predict, improve, and reduce yield variability. The necessary quality metrology is strongly influenced by not only the choice of equipment, but also the quality application of these tools in a production environment. The ultimate value added by metrology is a result of quality tools run by a quality metrology team using quality practices. This paper will explore the relationships among present and future trends and challenges in metrology, including equipment, key applications, and metrology deployment in the manufacturing flow. Of key importance are metrology personnel, with their expertise, practices, and metrics in achieving and maintaining the required level of metrology performance, including where precision, matching, and accuracy fit into these considerations. The value of metrology will be demonstrated to have shifted to being a ldquokey enabler of large revenues,rdquo debunking the out-of-date premise that metrology is ldquonon-value-added.rdquo


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Reducing measurement uncertainty drives the use of multiple technologies for supporting metrology

Bill Banke; Charles N. Archie; Matthew Sendelbach; Jim Robert; James A. Slinkman; Phil Kaszuba; Rick Kontra; Mick DeVries; Eric P. Solecky

Perhaps never before in semiconductor microlithography has there been such an interest in the accuracy of measurement. This interest places new demands on our in-line metrology systems as well as the supporting metrology for verification. This also puts a burden on the users and suppliers of new measurement tools, which both challenge and complement existing manufacturing metrology. The metrology community needs to respond to these challenges by using new methods to assess the fab metrologies. An important part of this assessment process is the ability to obtain accepted reference measurements as a way of determining the accuracy and Total Measurement Uncertainty (TMU) of an in-line critical dimension (CD). In this paper, CD can mean any critical dimension including, for example, such measures as feature height or sidewall angle. This paper describes the trade-offs of in-line metrology systems as well as the limitations of Reference Measurement Systems (RMS). Many factors influence each application such as feature shape, material properties, proximity, sampling, and critical dimension. These factors, along with the metrology probe size, interaction volume, and probe type such as e-beam, optical beam, and mechanical probe, are considered. As the size of features shrinks below 100nm some of the stalwarts of reference metrology come into question, such as the electrically determined transistor gate length. The concept of the RMS is expanded to show how multiple metrologies are needed to achieve the right balance of accuracy and sampling. This is also demonstrated for manufacturing metrology. Various comparisons of CDSEM, scatterometry, AFM, cross section SEM, electrically determined CDs, and TEM are shown. An example is given which demonstrates the importance in obtaining TMU by balancing accuracy and precision for selecting manufacturing measurement strategy and optimizing manufacturing metrology. It is also demonstrated how the necessary supporting metrology will bring together formerly unlinked technology fields requiring new measurement science. The emphasis on accuracy will increase the importance and role of NIST and similar metrology organizations in supporting the semiconductor industry in this effort.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Modeling and experimental aspects of apparent beam width as an edge resolution measure

Charles N. Archie; Jeremiah R. Lowney; Michael T. Postek

The SEMATECH advanced advisory group recently issued a critical dimension (CD) scanning electron microscope (SEM) specification. One component of this specification was the measurement of the apparent beam width (ABW). This measure is essentially an edge width measurement determined from the video profile of a good quality isolated structure of typical semiconductor material like photoresist on antireflective coating on silicon. The waveform is acquired under typical linewidth measurement conditions. The ABW measurement convolutes all the edge resolution-limiting contributors that are present during the linewidth measurement process because it is an actual measurement on a product wafer structure. These factors include beam size, depth of field, autofocus imprecision, astigmatism and electronic filtering in a single measurement. The choice of the ABW artifact, in itself, is also a potential contributor to the measurement and must be fully understood. Using the NIST Monte Carlo modeling program, MONSEL-II, the ABW measurement technique is examined and many contributing factors to measurement variation are studied. Results are compared with experiments using an existing ABW artifact. Furthermore, the requirements for future ABW artifacts are determined and discussed.


Proceedings of SPIE | 2008

Characterization of 32nm Node BEOL Grating Structures Using Scatterometry

Shahin Zangooie; Matthew Sendelbach; Matthew Angyal; Charles N. Archie; Alok Vaid; Itty Matthew; Pedro Herrera

Implementations of scatterometry in the back end of the line (BEOL) of the devices requires design of advanced measurement targets with attention to CMP ground rule constraints as well as model simplicity details. In this paper we outline basic design rules for scatterometry back end targets by stacking and staggering measurement pads to reduce metal pattern density in the horizontal plane of the device and to avoid progressive dishing problems along the vertical direction. Furthermore, important characteristics of the copper shapes in terms of their opaqueness and uniformity are discussed. It is shown that the M1 copper thicknesses larger than 100 nm are more than sufficient for accurate back end scatterometry implementations eliminating the need for modeling of contributions from the buried layers. AFM and ellipsometry line scans also show that the copper pads are sufficiently uniform with a sweet spot area of around 20 μm. Hence, accurate scatterometry can be done with negligible edge and/or dishing contributions if the measurement spot is placed any where within the sweet spot area. Reference metrology utilizing CD-SEM and CD-AFM techniques prove accuracy of the optical solutions for the develop inspect and final inspect grating structures. The total measurement uncertainty (TMU) values for the process of record line width are of the order of 0.77 nm and 0.35 nm at the develop inspect and final inspect levels, respectively.

Collaboration


Dive into the Charles N. Archie's collaboration.

Top Co-Authors

Avatar

Michael T. Postek

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Andras Vladar

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge