Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Narender Rana is active.

Publication


Featured researches published by Narender Rana.


Proceedings of SPIE | 2010

3D-AFM Enhancement for CD Metrology Dedicated to Lithography Sub-28 nm Node Requirements

J. Foucher; Narender Rana; Christophe Dezauzier

With the continuous shrinkage of feature dimensions on IC in the semiconductor industry, the measurement uncertainty is becoming one of the major components that have to be controlled in order to guarantee sufficient production yield. Already at the R&D level, we have to cope up with the accurate measurements of sub-40nm dense trenches and contact holes coming from 193 immersion lithography or E-Beam lithography. By using top-down CD-SEM it is currently impossible to extract profile information. Moreover, electron proximity effect leads to non-negligible CD bias in the final measurements. To enable measurement of challenging dimensions with better measurement and reduced measurement uncertainty we have explored and fine tuned an alternative 3D-AFM mode (so-called DT mode) for CD measurements purpose. Theoretically, this mode is supposed to be dedicated only for height measurement but for certain applications it could be extended to reach the nanometer scale accuracy of CD-measurements employing certain optimized scan parameters. In this paper, we will present and discuss results obtained related to the use of this particular mode for CD measurement purpose versus conventional 3D-AFM CD Mode that shows important limitations for aggressive trenches dimensions measurements. We will also present some results related to the use of advanced 3D-AFM tips (typically of 28nm diameter) that have been used with the enhanced DT mode parameters. Example of applications will be shown with typical sub-45nm trenches measurements dedicated to advanced lithography process development that will demonstrate that we have succeed to push ahead the limit of the 3D-AFM technology in measuring the tight dimensions that would allow to continue its use for current and upcoming technology nodes. Finally, we introduce the concept of hybrid metrology in order to smartly use the benefit of reference metrology (i.e 3D-AFM) through the optimization of CD-SEM algorithm that could be used for example for OPC model optimization.


Proceedings of SPIE | 2009

The measurement uncertainty challenge of advanced patterning development

Narender Rana; Chas Archie; Wei Lu; Bill Banke

The trend of reducing the feature size in ICs requires tightening control of critical dimension (CD) variability for optimal device performance. This drives a need to be able to accurately characterize the variability in order to have reliable metrics to drive improvement in development. Variation in CDs can come from various sectors such as mask, OPC, litho & Etch. Metrology is involved in all sectors and it is important to understand the accuracy limitations in metrology contributing to CD variability. Inaccuracy of the CD-SEM algorithm arising from profile variations is one example. Profile variation can result from process and design variation. Total Measurement Uncertainty (TMU) is a metric dependent on the precision of tool under test (CD-SEM here) and relative accuracy, and can track the accuracy of CD measurements in the presence of varying profiles. This study explores metrology limitations to capture the design and process contributions to the CD variation at the post litho step. In this paper lithography scanner focus-exposure matrix wafer was used to capture the process variation. CD and profile data is taken from varying focus fields. The sample plan described in this paper also covers the design variation by including nested features and isolated features of various sizes. Appropriate averaging methodology has been adopted in an attempt to decouple the process and design related CD variation to TMU. While the tool precision can be suppressed by sufficient averaging, the relative accuracy cannot. This relative accuracy is affected by the complex CD-SEM probe to sample interactions and sensitivity of CD-SEM algorithms to different feature profiles. One consequence of this is the average offsets between physical CDs (CDAFM) and SEM CDs change significantly with the defocus. TMU worsens as the focus range is increased from nominal focus. This paper explores why this is so and also discusses the challenges for the CD-AFM to accurately measure complex and varying profiles. There is a discussion of the implications of this study for the production measurement uncertainty, OPC calibration measurement at process of record conditions, and for process window OPC. Results for optimizing the CD-SEM algorithm to achieve superior accuracy across both design and process induced variation will also be presented.


Journal of Micro-nanolithography Mems and Moems | 2014

Leveraging advanced data analytics, machine learning, and metrology models to enable critical dimension metrology solutions for advanced integrated circuit nodes

Narender Rana; Yunlin Zhang; Taher Kagalwala; Todd C. Bailey

Abstract. Integrated circuit (IC) technology is changing in multiple ways: 193i to extreme ultraviolet exposure, planar to nonplanar device architecture, from single exposure lithography to multiple exposure and directed self-assembly (DSA) patterning, and so on. Critical dimension (CD) control requirement is becoming stringent and more exhaustive: CD and process windows are shrinking, three-sigma CD control of <2  nm is required in complex geometries, and a metrology uncertainty of <0.2  nm is required to achieve the target CD control for advanced IC nodes (e.g., 14, 10, and 7 nm nodes). There are fundamental capability and accuracy limits in all the metrology techniques that are detrimental to the success of advanced IC nodes. Reference or physical CD metrology is provided by atomic force microscopy (CD-AFM) and TEM while workhorse metrology is provided by CD-SEM, scatterometry, and model-based infrared reflectrometry (MBIR). Precision alone is not sufficient for moving forward. No single technique is sufficient to ensure the required accuracy of patterning. The accuracy of CD-AFM is ∼1  nm and the precision in TEM is poor due to limited statistics. CD scanning electron microscopy (CD-SEM), scatterometry, and MBIR need to be calibrated by reference measurements for ensuring the accuracy of patterned CDs and patterning models. There is a dire need for a measurement with <0.5  nm accuracy and the industry currently does not have that capability with inline measurements. Being aware of the capability gaps for various metrology techniques, we have employed data processing techniques and predictive data analytics, along with patterning simulation and metrology models and data integration techniques to selected applications demonstrating the potential solution and practicality of such an approach to enhance CD metrology accuracy. Data from multiple metrology techniques have been analyzed in multiple ways to extract information with associated uncertainties and integrated to extract the useful and more accurate CD and profile information of the structures. This paper presents the optimization of scatterometry and MBIR model calibration and the feasibility to extrapolate not only in design and process space but also from one process step to a previous process step. A well-calibrated scatterometry model or patterning simulation model can be used to accurately extrapolate and interpolate in the design and process space for lithography patterning where AFM is not capable of accurately measuring sub-40 nm trenches. The uncertainty associated with extrapolation can be large and needs to be minimized. We have made use of measurements from CD-SEM and CD-AFM, along with the patterning and scatterometry simulation models to estimate the uncertainty associated with extrapolation and the methods to reduce it. For the first time, we have reported the application of machine learning (artificial neural networks) to the resist shrinkage systematic phenomenon to accurately predict the preshrink CD based on supervised learning using the CD-AFM data. The study lays out various basic concepts, approaches, and protocols of multiple source data processing and integration for a hybrid metrology approach. Impacts of this study include more accurate metrology, patterning models, and better process controls for advanced IC nodes.


Proceedings of SPIE | 2012

Hybrid metrology solution for 1X node technology

Alok Vaid; Alexander Elia; Mark Kelling; John Allgair; Carsten Hartig; Peter Ebersbach; Erin Mclellan; Matthew Sendelbach; Nedal R. Saleh; Narender Rana; Hiroki Kawada; Toru Ikegami; Masahiko Ikeno; Takahiro Kawasaki; Cornel Bozdog; Helen Kim; Elad Arnon; Roy Koret; Igor Turovets

The accelerated pace of the semiconductor industry in recent years is putting a strain on existing dimensional metrology equipments (such as CDSEM, AFM, Scatterometry) to keep up with ever-increasing metrology challenges. However, a revolution appears to be forming with the recent advent of Hybrid Metrology (HM) - a practice of combining measurements from multiple equipment types in order to enable or improve measurement performance. In this paper we extend our previous work on HM to measure advanced 1X node layers - EUV and Negative Tone Develop (NTD) resist as well as 3D etch structures such as FinFETs. We study the issue of data quality and matching between toolsets involved in hybridization, and propose a unique optimization methodology to overcome these effects. We demonstrate measurement improvement for these advanced structures using HM by verifying the data with reference tools (AFM, XSEM, TEM). We also study enhanced OCD models for litho structures by modeling Line-edge roughness (LER) and validate its impact on profile accuracy. Finally, we investigate hybrid calibration of CDSEM to measure in-die resist line height by Pattern Top Roughness (PTR) methodology.


Journal of Micro-nanolithography Mems and Moems | 2012

Time-dependent electron-beam-induced photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

We explore how photoresist shrinkage behavior due to e-beam measurement by critical dimension-scanning electron microscope (CD-SEM) depends on various time-related factors. This will include an investigation of how the photoresist critical dimension (CD) and CD shrinkage varies with photoresist age and the differences in shrinkage trends between load/unload and static and dynamic repeatability cases, where time between measurements is a key variable. The results for this typical immersion argon flouride photoresist process will show that resist CD and shrinkage variation due to resist age and vacuum-cycling is insignificant, yet the shrinkage is strongly linked to time between consecutive measurements, with a well-defined, high-certainty logarithmic decay with time. These experiments identify a key difference between the shrinkage seen in static versus dynamic measurements, which will be shown to have far-reaching implications for the shrinkage phenomenon in general and for the best-known methods for executing CD-SEM metrology with photoresist samples.


Proceedings of SPIE | 2010

Hybrid reference metrology exploiting patterning simulation

Narender Rana; Chas Archie

Workhorse metrology such as CD-SEM is used during process development, process control, and optical proximity correction model generation and verification. Such metrology needs to be calibrated to handle various types of profiles encountered during IC fabrication. Reference metrology is used for calibration of workhorse metrology. There is an astounding need for sub-half and sub-quarter nanometer measurement uncertainty in the near future technology nodes as envisaged in the International Technology Roadmap for Semiconductors. In this regime of desired measurement uncertainty all metrology techniques are deemed limited and hybrid metrology appears promising to offer a solution. Hybrid metrology is the use of multiple metrology techniques, each with particular strength, to reduce the overall measurement uncertainty. CD-AFM makes use of a flared probe in order to scan the sidewalls and bottom of the pattern on a wafer to provide 3D profile and CD measurements at desired location on the profile. As the CD shrinks with technology nodes especially the space, the size of the AFM probe also needs to shrink while maintaining the flared geometry specifications. Unfortunately the fabrication of such probes is a challenge and new techniques are required to extend reference metrology to the smallest space and hole of interest. This paper proposes a reference system combining CD-AFM and patterning simulation model. This hybrid metrology system enables CD metrology in a space not measurable directly by conventional CD-AFM probe. The key idea is to use the successfully measured profile and CD information from the CD-AFM to calibrate or train the patterning simulation optical and resist model. Ability of this model to predict profile and CD measurement is verified on a physically measured dataset including cross sections and additional CD-AFM measurements. It is hypothesized that this model will be able to predict profile and CD measurements in otherwise immeasurable geometries. Being based on optics and materials fundamentals, this approach is presumed to be more accurate compared to mere extrapolation approach in use today. We report on the measurement uncertainty improvement with this approach. Situations with highest prediction confidence involve CD-AFM scanning resulting in partial information. For example, using carbon nanotube probes or CDP where there is little flaring of the tip, the CD-AFM cannot detect significant undercutting of the structure. Achieving agreement with the calibrated patterning model for measurement metrics such as height, top and middle CD permits the prediction of the bottom CD to be used as an authentic reference measurement.


Proceedings of SPIE | 2011

Tool-to-tool matching issues due to photoresist shrinkage effects

Benjamin Bunday; Aaron Cordes; Carsten Hartig; John Allgair; Alok Vaid; Eric P. Solecky; Narender Rana

Photoresist shrinkage is an important systematic uncertainty source in critical dimension-scanning electron microscope (CD-SEM) metrology of lithographic features. In terms of metrology gauge metrics, it influences both the precision and the accuracy of CD-SEM measurements, while locally damaging the sample. Minimization or elimination of shrinkage is desirable, yet elusive. Because this error source will furthermore be a factor in CD-SEM metrology on polymer materials, learning to work around this issue is necessary. Tool-to-tool matching is another important component of measurement uncertainty that metrologists must control in high volume manufacturing, and photoresist samples are a most difficult case due to shrinkage effects, as tool-to-tool biases can vary based on the sample or other parameters. In this work, we explore different shrinkage effects and their influence on matching. This will include an investigation of how the photoresist shrinkage rate varies with time from the chemical development of the photoresists, which necessitates that measurements on different tools within a group be performed in rapid succession to avoid additional error. The differences in shrinkage rates between static and dynamic load/unload cases will also be addressed, as these effects also influence matching. The results of these dynamic effect experiments will be shown to have far-reaching implications for the shrinkage phenomenon in general. Finally, various sampling schemes for matching will be explored, through both simulation and experiment, for use with shrinking materials. Included is a method whereby various fleet tools measure different locations, once per tool, within a uniform line/space grating. Finally, we will assess how well matching can be achieved using these techniques.


Proceedings of SPIE | 2011

Reconciling measurements in AFM reference metrology when using different probing techniques

Narender Rana; Charles N. Archie; Johann Foucher

CD-AFM can report CD measurements to several nanometer differences when different probing techniques including probe types, scan methods, or data analyses are employed on the same sample despite using standard calibration techniques. This potentially weakens the assertion that this instrument is inherently accurate. It is particularly important to resolve these discrepancies given the measurement challenges where multiple probing techniques need to be employed to get complete CD information. Probe type refers to tip construction methods that can significantly affect geometrical aspects of probe such as effective length, width, and edge height as well as material composition and coating. Scan code refers to CD or DT mode of tool operation. Analysis includes probe geometry deconvolution and measurement algorithms. These challenges in measurement accuracy are especially significant for the foot or bottom CD metrology of 3D structures. This paper explores the impact of these different probing techniques on the measurement accuracy. In one series of experiments, measurements for different probing techniques are compared when the test and the referencing structures are composed of similar material and possess smooth vertical profiles. The investigation is then extended to explore the accuracy of bottom CD measurement of non vertical profiles encountered in actual process development. A hybrid method using CD and DT modes has been tested to measure the bottom CD of challenging pitch structures. The limited space for the probe is particularly problematic for CD mode but the accuracy of DT mode for CD measurement is a concern. Other challenges will also be discussed along with possible solutions. CD-AFM has increased uncertainty when it comes to measuring within 15 nm of the bottom of a structure. In this regime details of the shape of the probe and the method by which this shape is extracted from the raw data become important. Measured CDs can vary by a few nanometers depending upon the algorithm employed for data analysis. These algorithms apply approximate methods for probe shape deconvolution from the raw data. Given all these sources of variation in CD determination it important to understand their impact on the accuracy of measurement in order to properly estimate uncertainty and drive improvement. Overall this paper provides a practical guideline in pursuit of accurate CD metrology and scope for improvements for upcoming technology nodes.


advanced semiconductor manufacturing conference | 2015

Predictive data analytics and machine learning enabling metrology and process control for advanced node IC fabrication

Narender Rana; Yunlin Zhang; Donald Wall; Bachir Dirahoui

Processor technology is going through multiple changes in terms of patterning techniques (multipatterning, EUV and DSA), device architectures (FinFET, nanowire, graphene) and patterning scale (few nanometers). These changes require tighter controls on processes and measurements to achieve the required device performance, and challenge the metrology and process control in terms of capability and quality. Predictive metrology and analytics offer Multivariate data with non-linear trends and complex correlations generally cannot be described well by mathematical models but can be relatively easily learned by computing machines and used to predict or extrapolate. In this paper we present the application of machine learning and analytics to accurately predict the electrical performance of deep trenches and metal lines. Machine learning models can be used in process control where, for example, the electrical test results are predicted early in the processing flow invoking appropriate actionable decisions. It is demonstrated that metal line resistance can be modeled directly by the raw reflectance spectra obtained using scatterometry tool. This obviates the need to make complex geometrical models to measure the CDs and then establishing the correlation of CDs to resistance. It is shown that dimensional parameters such as height and CD can be derived from the predicted electrical measurements. Such information can be used in feedforward or feedback flow to optimize, control or monitor processes in fab. Results show improved correlation of neural network model predicted deep trench capacitance to the measured capacitance compared to the capacitance predicted by multivariate linear regression model that is currently in use. This paper presents the concept of predictive metrology with the use of machine learning and predictive analytics for CD and electrical test predictions. Predictive metrology can be used in conjunction with hybrid metrology to enable APC and novel metrology pathways in gap areas in the advanced semiconductor research, development and manufacturing.


Proceedings of SPIE | 2015

Machine learning and predictive data analytics enabling metrology and process control in IC fabrication

Narender Rana; Yunlin Zhang; Donald Wall; Bachir Dirahoui; Todd C. Bailey

Integrate circuit (IC) technology is going through multiple changes in terms of patterning techniques (multiple patterning, EUV and DSA), device architectures (FinFET, nanowire, graphene) and patterning scale (few nanometers). These changes require tight controls on processes and measurements to achieve the required device performance, and challenge the metrology and process control in terms of capability and quality. Multivariate data with complex nonlinear trends and correlations generally cannot be described well by mathematical or parametric models but can be relatively easily learned by computing machines and used to predict or extrapolate. This paper introduces the predictive metrology approach which has been applied to three different applications. Machine learning and predictive analytics have been leveraged to accurately predict dimensions of EUV resist patterns down to 18 nm half pitch leveraging resist shrinkage patterns. These patterns could not be directly and accurately measured due to metrology tool limitations. Machine learning has also been applied to predict the electrical performance early in the process pipeline for deep trench capacitance and metal line resistance. As the wafer goes through various processes its associated cost multiplies. It may take days to weeks to get the electrical performance readout. Predicting the electrical performance early on can be very valuable in enabling timely actionable decision such as rework, scrap, feedforward, feedback predicted information or information derived from prediction to improve or monitor processes. This paper provides a general overview of machine learning and advanced analytics application in the advanced semiconductor development and manufacturing.

Collaboration


Dive into the Narender Rana's collaboration.

Researchain Logo
Decentralizing Knowledge