Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Craig C. Henderson is active.

Publication


Featured researches published by Craig C. Henderson.


Science | 1993

C60H2: Synthesis of the Simplest C60 Hydrocarbon Derivative

Craig C. Henderson; Paul A. Cahill

The reaction of C60 with BH3: tetrahydrofuran in toluene followed by hydrolysis yielded C60H2. This product was separated by high-performance liquid chromatography and characterized as the addition product of H2 to a 6,6-ring fusion (1alb isomer). The 1H nuclear magnetic resonance (NMR) spectrum of the product remained a sharp singlet between –80� and +100�C, which suggests a static structure on the NMR time scale. Hydrolysis of the proposed borane addition product with acetic acid-d1 or D2O yielded C60HD, and its 3JHD coupling constant is consistent with vicinal addition. The observation of a single C60H2 isomer is in complete agreement with earlier calculations that indicated that at most 2 of the 23 possible isomers of C60 would be observable at equilibrium at room temperature. These results suggest that organoborane chemistry may be applied to further functionalization of fullerenes.


Chemical Physics Letters | 1993

Theoretical studies of selected C60H2 and C70H2 isomers

Craig C. Henderson; Celeste McMichael Rohlfing; Paul A. Cahill

Abstract Semi-empirical MNDO methods predict that 2 of 23 structurally distinct C 60 H 2 isomers and 4 of 143 C 70 H 2 isomers have particularly low heats of formation. These isomers represent either 1,2-addition across a 6:6-ring fusion or 1,4-addition across a 6-ring, with both hydrogens externally bound. Fully optimized ab initio structures are computed for these low-lying isomers at the Hartree—Fock level using 3-21G and 6-31G* basis sets. For C 60 H 2 , all three methods agree on the isomer ordering, and the lowest energy structure is also the only one that has been observed experimentally. The energy separations among the lowest four C 70 H 2 isomers are found to be quite small, but only the ab initio ordering is consistent with experimental results.


Journal of Applied Polymer Science | 1998

Mechanical properties of CRETE, a polyurethane foam

Steven H. Goods; C. L. Neuschwanger; Craig C. Henderson; Dawn M. Skala

The room-temperature mechanical properties of a closed-cell, polyurethane encapsulant foam were measured as a function of foam density. Over the range of densities examined, the modulus could be described by a power-law relationship with respect to density. This power-law relationship was the same for both tension and compression testing. The basis for this power-law relationship is explained in terms of the elastic compliance of the cellular structure of the foam using a simple geometric model put forth by Gibson and Ashby. The elastic collapse stress, a property relevant to compression testing, also is found to exhibit a power-law relationship with respect to density. The density dependence of this property is also found in the work of Gibson and Ashby and is explained in terms of the Euler buckling of the struts that comprise the cellular structure. Energy absorption during deformation is also reported for both tension and compression testing.


Chemical Physics Letters | 1992

Semi-empirical calculations of the isomeric C60 dihydrides

Craig C. Henderson; Paul A. Cahill

Abstract The reduction of C 60 to C 60 H 2 may result in the formation of 23 distinct, energetically non-equivalent isomers, of which three are meso. The heats of formation of these isomers were calculated at spin-restricted and spin-unrestricted semi-empirical levels using PM3 parameters. Our results indicate that at most two of the 23 isomers are likely to be significantly populated at thermal equilibrium at room temperature. The relative energies of the three lowest-energy isomers at the spin-unrestricted level are 0 kcal/mol for substitution across a 6,6-ring fusion, +2.5 kcal/mol for substitution 1,4 across of a 6 ring, and +9.1 kcal/mol for substitution 2,6 across a naphthalene ring subunit. A concise nomenclature for designating substitutional patterns on C 60 and fullerenes in general is proposed.


Journal of Vacuum Science & Technology B | 1999

Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

Gregory Frank Cardinale; Craig C. Henderson; John E. M. Goldsmith; Pawitter J. S. Mangat; Jonathan L. Cobb; Scott Daniel Hector

In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm thick) and was subsequently exposed to EUV radiation using a 10× reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85°) sidewalls, employed a HBr/Cl chemistry with a large (>1...


Journal of Vacuum Science & Technology B | 1999

Comparison of the lithographic properties of positive resists upon exposure to deep- and extreme-ultraviolet radiation

Robert L. Brainard; Craig C. Henderson; Jonathan L. Cobb; Veena Rao; Joseph F. Mackevich; Uzodinma Okoroanyanwu; Scott Gunn; Janet Chambers; Susan Connolly

Nineteen chemically amplified ultrathin resists were imaged using exposure to extreme-ultraviolet (EUV) (13.4 nm) and deep-ultraviolet (DUV) (248 nm) radiation. Direct comparisons were made of photospeed, resolution, and line edge roughness (LER). The photospeed of these resists at 248 nm shows a good correlation with photospeed at EUV for three polymer types, but appears independent of photoacid generator type. This result underscores the importance of the polymer in photoacid generation at EUV. Resolution showed poor correlation between DUV and EUV. Correlations were made between the line edge roughness of EUV-imaged features and unexposed film thickness loss, resist contrast, image log slope (ILS), and LER of resists exposed at DUV. Both contrast and image log slope play important roles in defining LER performance—where the best LER is achieved at high contrast and high ILS.


Journal of Vacuum Science & Technology B | 1999

Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber

Pawitter J. S. Mangat; Scott Daniel Hector; Matthew A. Thompson; William J. Dauksher; Jonathan L. Cobb; Kevin D. Cummings; David P. Mancini; Douglas J. Resnick; Gregory Frank Cardinale; Craig C. Henderson; P. Kearney; M. Wedowski

Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron resonance and reactive ion etching with halogen-based gases. Process temperatures to fabricate these reticles were always maintained below 150 °C. EUV properties after patterning were measured using a synchrotron source reflectometer. Completed masks exhibited a negligible shift in the peak wavelength and less than 2% loss in reflectivity due to processing. Qualified masks were exposed with a 10× EUV exposure system. The exposures were made in 80-nm-thick DUV resist and with numerical apertures (NA) of 0.08, 0.088, and 0.1. Resolution down to 70 nm equal lines and spaces was achieved at a NA of 0.1. Line edge roughness in the resist features was 5.5 nm (3σ, one side)...


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Photoresist film thickness for extreme ultraviolet lithography

Paul M. Dentinger; Gregory Frank Cardinale; Craig C. Henderson; Aaron Fisher; Avijit K. Ray-Chaudhuri

The thickness of the photoresist directly impacts the etch stand off and may impact the number of defects in the spin- coated film. However, the maximum imaging layer thickness for extreme ultraviolet lithography (EUVL) is limited by absorption of the radiation. Attenuation in photoresist materials at relevant EUV wavelengths was calculated with atomic extinction coefficients provided from Henke et al. The calculations indicated that photoresist materials have an optical density (O.D.) of 4.0 micrometer-1 (base e) so that 100 nm thick imaging layers have approximately 67% transmission at 13.4 nm wavelength. Using Prolith/3DTM (Finle Technologies, Austin, TX) simulations of the effect of highly attenuating materials on sidewall slope were done and shown to be small. Imaging experiments were performed in a commercially-available DUV resist material on the 10 X II microstepper and with an improved EUV resist formulation. The imaging results agreed well with the calculations. Top down and cross-section images showed good sidewall profiles in 95 nm thick films at the nominal dose because over 68% of the energy was transmitted through the film. When the thickness of the film was increased, the dose was increased slightly to compensate for the absorption while good sidewall profiles and linearity were maintained. Photoresist thicknesses as high as 145 nm were imaged with a 35% increase in dose. Results are also shown for a single layer resist exposed at 175 nm thickness with only slight sidewall degradation. It is shown that the imaging layer thickness for 13.4 nm lithography is likely to be 120 +/- 15 nm. If 11.4 nm wavelength radiation is chosen for EUV lithography, it is shown that thicknesses of 170 nm is possible.


Emerging lithographic technologies. Conference | 1999

Sub-100-nm lithographic imaging with an EUV 10x microstepper

John E. M. Goldsmith; Kurt W. Berger; Dan R. Bozman; Gregory Frank Cardinale; Daniel R. Folk; Craig C. Henderson; Donna J. O'Connell; Avijit K. Ray-Chaudhuri; Kenneth D. Stewart; Daniel A. Tichenor; Henry N. Chapman; Richard J. Gaughan; Russell M. Hudyma; Claude Montcalm; John S. Taylor; Jeffrey D. Williams; Kenneth A. Goldberg; Eric M. Gullikson; Patrick P. Naulleau; Jonathan L. Cobb

The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic improvements in EUV imaging, illustrated by resolution of 70 nm dense lines and spaces (L/S). CD linearity has been demonstrated for dense L/S over the range 100 nm to 80 nm, both for the imaging layer and for subsequent pattern transfer. For a +/- 10 percent CD specification, we have demonstrated a process latitude of +/- micrometers depth of focus and 10 percent dose range for dense 100 nm L/S.


Emerging lithographic technologies. Conference | 1999

Ultrathin photoresists for EUV lithography

Veena Rao; Jonathan L. Cobb; Craig C. Henderson; Uzodinma Okoroanyanwu; Dan R. Bozman; Pawitter J. S. Mangat; Robert L. Brainard; Joseph F. Mackevich

The strong attenuation of EUV radiation in organic materials has necessitated the use of a thin layer imaging (TLI) resist for lithographic patterning. We have studied several TLI processes for EUV and found the use of an ultra-thin single layer resist (UTR) over a hardmask is a plausible resist system. We have developed new EUV resist system based on DUV chemical approaches. These EUV resist pattern features as small as 70 nm L/S and 70 nm isolated features. The UTR process shows high sensitivity and low line edge roughness compared to other thin layer imaging resists processes such as top-surface imaging. The advantage of these UTR resists is the current familiarity in the industry with processing and materials development. We have also ben able to address one of the main concerns surrounding such thin resists, and we have found they are sufficient to pattern the hard mask with enough resist remaining.

Collaboration


Dive into the Craig C. Henderson's collaboration.

Top Co-Authors

Avatar

Paul A. Cahill

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

John E. M. Goldsmith

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Steven H. Goods

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

LeRoy L. Whinnery

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

David R. Wheeler

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Donna J. O'Connell

Sandia National Laboratories

View shared research outputs
Researchain Logo
Decentralizing Knowledge