Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Gregory Frank Cardinale is active.

Publication


Featured researches published by Gregory Frank Cardinale.


Journal of Vacuum Science & Technology B | 1999

Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

Gregory Frank Cardinale; Craig C. Henderson; John E. M. Goldsmith; Pawitter J. S. Mangat; Jonathan L. Cobb; Scott Daniel Hector

In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm thick) and was subsequently exposed to EUV radiation using a 10× reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85°) sidewalls, employed a HBr/Cl chemistry with a large (>1...


Journal of Vacuum Science & Technology B | 2004

Fabrication of a surface acoustic wave-based correlator using step-and-flash imprint lithography

Gregory Frank Cardinale; J. L. Skinner; A. Alec Talin; R. W. Brocato; D. W. Palmer; David P. Mancini; William J. Dauksher; Kathy A. Gehoski; Ngoc V. Le; Kevin J. Nordquist; Douglas J. Resnick

We report the surface acoustic wave (SAW) correlator devices fabricated using nanoimprint lithography. Using step-and-flash imprint lithography (S-FIL), we produced SAW correlator devices on 100mm diameter z-cut LiNbO3 devices and an aluminum metal etch process. On the same chip layout, we fabricated SAW filters and compared both the filters and correlators to similar devices fabricated using electron-beam lithography (EBL). Both S-FIL- and EBL-patterned correlators and SAW filters were analyzed using a bit-error rate tester to generate the signal and a parametric signal analyzer to evaluate the output. The NIL filters had an average center frequency of 2.38GHz with a standard deviation of 10MHz. The measured insertion loss averaged −31dB. In comparison, SAW filters fabricated using EBL exhibited a center frequency of 2.39GHz and a standard deviation of 100kHz. Based on our preliminary results, we believe that S-FIL is an efficient and entirely viable fabrication method to produce quality SAW filters and ...


Journal of Vacuum Science & Technology B | 1999

Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber

Pawitter J. S. Mangat; Scott Daniel Hector; Matthew A. Thompson; William J. Dauksher; Jonathan L. Cobb; Kevin D. Cummings; David P. Mancini; Douglas J. Resnick; Gregory Frank Cardinale; Craig C. Henderson; P. Kearney; M. Wedowski

Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron resonance and reactive ion etching with halogen-based gases. Process temperatures to fabricate these reticles were always maintained below 150 °C. EUV properties after patterning were measured using a synchrotron source reflectometer. Completed masks exhibited a negligible shift in the peak wavelength and less than 2% loss in reflectivity due to processing. Qualified masks were exposed with a 10× EUV exposure system. The exposures were made in 80-nm-thick DUV resist and with numerical apertures (NA) of 0.08, 0.088, and 0.1. Resolution down to 70 nm equal lines and spaces was achieved at a NA of 0.1. Line edge roughness in the resist features was 5.5 nm (3σ, one side)...


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Photoresist film thickness for extreme ultraviolet lithography

Paul M. Dentinger; Gregory Frank Cardinale; Craig C. Henderson; Aaron Fisher; Avijit K. Ray-Chaudhuri

The thickness of the photoresist directly impacts the etch stand off and may impact the number of defects in the spin- coated film. However, the maximum imaging layer thickness for extreme ultraviolet lithography (EUVL) is limited by absorption of the radiation. Attenuation in photoresist materials at relevant EUV wavelengths was calculated with atomic extinction coefficients provided from Henke et al. The calculations indicated that photoresist materials have an optical density (O.D.) of 4.0 micrometer-1 (base e) so that 100 nm thick imaging layers have approximately 67% transmission at 13.4 nm wavelength. Using Prolith/3DTM (Finle Technologies, Austin, TX) simulations of the effect of highly attenuating materials on sidewall slope were done and shown to be small. Imaging experiments were performed in a commercially-available DUV resist material on the 10 X II microstepper and with an improved EUV resist formulation. The imaging results agreed well with the calculations. Top down and cross-section images showed good sidewall profiles in 95 nm thick films at the nominal dose because over 68% of the energy was transmitted through the film. When the thickness of the film was increased, the dose was increased slightly to compensate for the absorption while good sidewall profiles and linearity were maintained. Photoresist thicknesses as high as 145 nm were imaged with a 35% increase in dose. Results are also shown for a single layer resist exposed at 175 nm thickness with only slight sidewall degradation. It is shown that the imaging layer thickness for 13.4 nm lithography is likely to be 120 +/- 15 nm. If 11.4 nm wavelength radiation is chosen for EUV lithography, it is shown that thicknesses of 170 nm is possible.


Journal of Vacuum Science & Technology B | 1999

Method for compensation of extreme-ultraviolet multilayer defects

Avijit K. Ray-Chaudhuri; Gregory Frank Cardinale; Aaron Fisher; Pei-Yang Yan; Donald W. Sweeney

We propose the use of optical proximity correction on absorber features to compensate for the effect of subresolution multilayer defects that would otherwise induce a critical error in linewidth. Initial experiments have been performed which validate this concept. Process window simulations quantify the practical limits of this technique.


Emerging lithographic technologies. Conference | 1999

Sub-100-nm lithographic imaging with an EUV 10x microstepper

John E. M. Goldsmith; Kurt W. Berger; Dan R. Bozman; Gregory Frank Cardinale; Daniel R. Folk; Craig C. Henderson; Donna J. O'Connell; Avijit K. Ray-Chaudhuri; Kenneth D. Stewart; Daniel A. Tichenor; Henry N. Chapman; Richard J. Gaughan; Russell M. Hudyma; Claude Montcalm; John S. Taylor; Jeffrey D. Williams; Kenneth A. Goldberg; Eric M. Gullikson; Patrick P. Naulleau; Jonathan L. Cobb

The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic improvements in EUV imaging, illustrated by resolution of 70 nm dense lines and spaces (L/S). CD linearity has been demonstrated for dense L/S over the range 100 nm to 80 nm, both for the imaging layer and for subsequent pattern transfer. For a +/- 10 percent CD specification, we have demonstrated a process latitude of +/- micrometers depth of focus and 10 percent dose range for dense 100 nm L/S.


Emerging lithographic technologies. Conference | 1999

EUV mask patterning approaches

Pei-Yang Yan; Guojing Zhang; Patrick Kofron; Jenn Chow; Alan R. Stivers; Edita Tejnil; Gregory Frank Cardinale; Patrick A. Kearney

In the last two years, we have developed tow Extreme UV (EUV) mask fabrication process flows, namely the substractive metal and the damascene process flows, utilizing silicon wafer process tools. Both types of EUV mask have been tested in a 10X reduction EUV exposure system. Dense lines less than 100 nm in width have been printed using both 0.6 micrometers thick top surface imaging resists and ultra-thin DUV resist. The EUV masks used in EUV lithography development work have been routinely made by using the current wafer process tools. The two EUV mask processes that we have developed both have some advantages and disadvantages. The simpler subtractive metal process is compatible with the current reticle defect repair methodologies. On the other hand, the more complex damascene process facilitates mask cleaning and particle inspection.


23rd Annual International Symposium on Microlithography | 1998

Top surface imaging resists for EUV lithography

Craig C. Henderson; David R. Wheeler; Tim P. Pollagi; Donna J. O'Connell; John E. M. Goldsmith; Aaron Fisher; Gregory Frank Cardinale; John M. Hutchinson; Veena Rao

The strong attenuation of extreme UV (EUV) radiation by organic materials necessities the use of a thin layer imaging (TLI) process for EUV lithography. Several TLI processes have been identified for potential use for EUVL, and the common theme in these approaches is the transfer of the aerial image to a thin layer of refractory-containing material, which is then used as a dry O2 etch mask during a subsequent pattern transfer to the device layer. One TLI process that has been extensively examined for EUVL is the silylated top-surface imaging (TSI) technology, which is discussed in this paper. Using a new disilane silylation reagent, dimethylaminodimethyldisilane (DMDS) and 13.4 nm exposure, the TSI process has been sued to print 100 nm lines and spaces at equal pitch and 70 nm lines and spaces at a higher 1:2 pitch. The line edge roughness for the printed lines has been determined using a custom image analysis program and, as expected, varies with the particular EUV exposure system and numerical aperture. Exposures done with 193 nm lithography and the TSI process using DMDS are also shown for comparison to the EUV results.


Society of Photo-Optical Instrumentation Engineers 18th Annual BACUS Symposium on Photomask Technology and Management, Redwood City, CA, September 16-18, 1998 | 1998

Masks for extreme ultraviolet lithography

Stephen P. Vernon; Patrick A. Kearney; William M. Tong; Shon Prisbrey; Cindy C. Larson; Craig E. Moore; Frank J. Weber; Gregory Frank Cardinale; Pei-Yang Yan; Scott Daniel Hector

In extreme ultraviolet lithography (EUVL), the technology specific requirements on the mask are a direct consequence of the utilization of radiation in the spectral region between 10 and 15 nm. At these wavelengths, all condensed materials are highly absorbing and efficient radiation transport mandates the use of all-reflective optical systems. Reflectivity is achieved with resonant, wavelength-matched multilayer (ML) coatings on all of the optical surfaces -- including the mask. The EUV mask has a unique architecture -- it consists of a substrate with a highly reflective ML coating (the mask blank) that is subsequently over-coated with a patterned absorber layer (the mask). Particulate contamination on the EUVL mask surface, errors in absorber definition and defects in the ML coating all have the potential to print in the lithographic process. While highly developed technologies exist for repair of the absorber layer, no viable strategy for the repair of ML coating defects has been identified. In this paper the state- of-the-art in ML deposition technology, optical inspection of EUVL mask blank defects and candidate absorber patterning approaches are reviewed.


Journal of Vacuum Science & Technology B | 2004

Extreme ultraviolet lithography based nanofabrication using a bilevel photoresist

A. Alec Talin; Gregory Frank Cardinale; T. I. Wallow; Paul M. Dentinger; S. Pathak; D. Chinn; D. R. Folk

We describe the use and characterization of a bilevel photoresist for extreme ultraviolet lithography (EUVL). The bilevel photoresist consists of a combination of a commercially available polydimethylglutarimide (PMGI) bottom layer and an experimental EUVL photoresist top (imaging) layer. We measure the sensitivity of PMGI to EUV exposure dose as a function of photoresist prebake temperature, and using this data, optimize a metal liftoff process. Reliable fabrication of 700 A thick Au structures with sub-1000 A critical dimensions is achieved, even without the use of a Au adhesion layer, such as Ti. Using the bilevel photoresist process, we fabricate an electrode array test structure, designed for electrical characterization of molecules and nanocrystals.

Collaboration


Dive into the Gregory Frank Cardinale's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Craig C. Henderson

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Aaron Fisher

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

John E. M. Goldsmith

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Kurt W. Berger

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Daniel A. Tichenor

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Donna J. O'Connell

Sandia National Laboratories

View shared research outputs
Researchain Logo
Decentralizing Knowledge