Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jonathan L. Cobb is active.

Publication


Featured researches published by Jonathan L. Cobb.


Advances in Resist Technology and Processing XX | 2003

Extendibility of chemically amplified resists: another brick wall?

William D. Hinsberg; Frances A. Houle; Martha I. Sanchez; John A. Hoffnagle; Gregory M. Wallraff; David R. Medeiros; Gregg M. Gallatin; Jonathan L. Cobb

The chemically amplified resist concept, first described two decades past and originally targeted for the 1000 nm device generation, has proved to have remarkable versatility. The semiconductor industry has come to rely on the properties of CA resists to achieve high resolution, high aspect ratio imaging accompanied by the high throughput that stems from their catalytic imaging mechanism. As the industry maps the evolution of lithographic technology to the 20 nm regime, it is appropriate to review the factors that control the performance of CA resists, and examine whether the traditional evolutionary path of materials refinement will provide materials capable of supporting device manufacturing at those dimensions. The impacts of image blur, line-edge roughness and shot noise on the ability to image CA resists at nanoscale dimensions will be discussed.


Journal of Vacuum Science & Technology B | 1999

Demonstration of pattern transfer into sub-100 nm polysilicon line/space features patterned with extreme ultraviolet lithography

Gregory Frank Cardinale; Craig C. Henderson; John E. M. Goldsmith; Pawitter J. S. Mangat; Jonathan L. Cobb; Scott Daniel Hector

In two separate experiments, we have successfully demonstrated the transfer of dense- and loose-pitch line/space (L/S) photoresist features, patterned with extreme ultraviolet (EUV) lithography, into an underlying hard mask material. In both experiments, a deep-UV photoresist (∼90 nm thick) was spin cast in bilayer format onto a hard mask (50–90 nm thick) and was subsequently exposed to EUV radiation using a 10× reduction EUV exposure system. The EUV reticle was fabricated at Motorola (Tempe, AZ) using a subtractive process with Ta-based absorbers on Mo/Si multilayer mask blanks. In the first set of experiments, following the EUV exposures, the L/S patterns were transferred first into a SiO2 hard mask (60 nm thick) using a reactive ion etch (RIE), and then into polysilicon (350 nm thick) using a triode-coupled plasma RIE etcher at the University of California, Berkeley, microfabrication facilities. The latter etch process, which produced steep (>85°) sidewalls, employed a HBr/Cl chemistry with a large (>1...


Journal of Vacuum Science & Technology B | 1999

Comparison of the lithographic properties of positive resists upon exposure to deep- and extreme-ultraviolet radiation

Robert L. Brainard; Craig C. Henderson; Jonathan L. Cobb; Veena Rao; Joseph F. Mackevich; Uzodinma Okoroanyanwu; Scott Gunn; Janet Chambers; Susan Connolly

Nineteen chemically amplified ultrathin resists were imaged using exposure to extreme-ultraviolet (EUV) (13.4 nm) and deep-ultraviolet (DUV) (248 nm) radiation. Direct comparisons were made of photospeed, resolution, and line edge roughness (LER). The photospeed of these resists at 248 nm shows a good correlation with photospeed at EUV for three polymer types, but appears independent of photoacid generator type. This result underscores the importance of the polymer in photoacid generation at EUV. Resolution showed poor correlation between DUV and EUV. Correlations were made between the line edge roughness of EUV-imaged features and unexposed film thickness loss, resist contrast, image log slope (ILS), and LER of resists exposed at DUV. Both contrast and image log slope play important roles in defining LER performance—where the best LER is achieved at high contrast and high ILS.


Journal of Vacuum Science & Technology B | 1999

Extreme ultraviolet lithography mask patterning and printability studies with a Ta-based absorber

Pawitter J. S. Mangat; Scott Daniel Hector; Matthew A. Thompson; William J. Dauksher; Jonathan L. Cobb; Kevin D. Cummings; David P. Mancini; Douglas J. Resnick; Gregory Frank Cardinale; Craig C. Henderson; P. Kearney; M. Wedowski

Extreme ultraviolet (EUV) lithography masks were fabricated using a stack of TaSi or TaSiN (absorber), SiON (repair buffer), and Cr (conductive etch stop) on a Mo/Si multilayer mirror deposited on a Si wafer. High-resolution structures were exposed using a commercial i-line resist, and the pattern was transferred using both electron cyclotron resonance and reactive ion etching with halogen-based gases. Process temperatures to fabricate these reticles were always maintained below 150 °C. EUV properties after patterning were measured using a synchrotron source reflectometer. Completed masks exhibited a negligible shift in the peak wavelength and less than 2% loss in reflectivity due to processing. Qualified masks were exposed with a 10× EUV exposure system. The exposures were made in 80-nm-thick DUV resist and with numerical apertures (NA) of 0.08, 0.088, and 0.1. Resolution down to 70 nm equal lines and spaces was achieved at a NA of 0.1. Line edge roughness in the resist features was 5.5 nm (3σ, one side)...


Emerging lithographic technologies. Conference | 1999

Sub-100-nm lithographic imaging with an EUV 10x microstepper

John E. M. Goldsmith; Kurt W. Berger; Dan R. Bozman; Gregory Frank Cardinale; Daniel R. Folk; Craig C. Henderson; Donna J. O'Connell; Avijit K. Ray-Chaudhuri; Kenneth D. Stewart; Daniel A. Tichenor; Henry N. Chapman; Richard J. Gaughan; Russell M. Hudyma; Claude Montcalm; John S. Taylor; Jeffrey D. Williams; Kenneth A. Goldberg; Eric M. Gullikson; Patrick P. Naulleau; Jonathan L. Cobb

The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic improvements in EUV imaging, illustrated by resolution of 70 nm dense lines and spaces (L/S). CD linearity has been demonstrated for dense L/S over the range 100 nm to 80 nm, both for the imaging layer and for subsequent pattern transfer. For a +/- 10 percent CD specification, we have demonstrated a process latitude of +/- micrometers depth of focus and 10 percent dose range for dense 100 nm L/S.


Emerging lithographic technologies. Conference | 1999

Ultrathin photoresists for EUV lithography

Veena Rao; Jonathan L. Cobb; Craig C. Henderson; Uzodinma Okoroanyanwu; Dan R. Bozman; Pawitter J. S. Mangat; Robert L. Brainard; Joseph F. Mackevich

The strong attenuation of EUV radiation in organic materials has necessitated the use of a thin layer imaging (TLI) resist for lithographic patterning. We have studied several TLI processes for EUV and found the use of an ultra-thin single layer resist (UTR) over a hardmask is a plausible resist system. We have developed new EUV resist system based on DUV chemical approaches. These EUV resist pattern features as small as 70 nm L/S and 70 nm isolated features. The UTR process shows high sensitivity and low line edge roughness compared to other thin layer imaging resists processes such as top-surface imaging. The advantage of these UTR resists is the current familiarity in the industry with processing and materials development. We have also ben able to address one of the main concerns surrounding such thin resists, and we have found they are sufficient to pattern the hard mask with enough resist remaining.


Journal of Vacuum Science & Technology B | 2003

Statistical limitations of printing 50 and 80 nm contact holes by EUV lithography

G. M. Gallatin; Frances A. Houle; Jonathan L. Cobb

Nanoscale photolithography requires accurate formation of very small resist images using high energy photons and a high sensitivity resist. Historically it has been presumed that the primary technical challenges for design of a photoresist that will image with high accuracy under these conditions are shot noise effects, i.e., statistical variation of overall photon intensity from place to place on the wafer, and line-edge roughness. While these issues have been examined to various degrees, other aspects of the resist response have not received comparable attention. These include the effect of the statistics of the chemical reactions during post-expose bake and dissolution on resist images, and the effect of the fluctuations of the spatial distribution of photons within a printed feature. In order to examine the impact of all of these we have carried out a series of simulations of resist image formation as a function of dose for arrays of 50 and 80 nm contact holes printed with EUV (13.4 nm) radiation usin...


Journal of Vacuum Science & Technology B | 2003

Modeling the impact of photoresist trim etch process on photoresist surface roughness

Shahid Rauf; Phillip Stout; Jonathan L. Cobb

Evolution of roughness on photoresist (PR) sidewalls during PR trim etching has been investigated using an integrated two-dimensional plasma equipment-feature evolution model. Results show that the PR trim process reduces PR surface roughness as exposure to energetic ions enhances etching of “rough” protrusions while shadowing of neutral etchants within rough cavities reduces the etch rate there. Large amplitude roughness is found to be relatively easier to remove so the roughness reduction rate decreases as the trim etch process progresses. This is because the disparity between the etch rate of rough protrusions and cavities decreases as roughness is reduced and all regions of the surface start etching laterally at similar rates. Low spatial frequency roughness components are more difficult to remove during PR trimming than high-frequency components because there is less neutral etchant shadowing within cavities at low frequencies and rough bumps become too broad to effectively remove through physical sp...


Optical Microlithography XVII | 2004

Evaluation of the critical dimension control requirements in the ITRS using statistical simulation and error budgets

Scott Daniel Hector; Sergei V. Postnikov; Jonathan L. Cobb

To evaluate the ability to achieve the CD control requirements listed in the International Technology Roadmap for Semiconductors (ITRS) and to set error budget targets for focus, dose, PEB temperature uniformity, and mask CD control, statistical lithography simulation was used. A statistical model of total CD control, including the effects of intrafield and interfield error sources, was developed. The exposure tool settings such as wavelength, NA and partial coherence, focus and dose error budgets, lens aberration levels, mask type and pattern pitch values were determined for each node. Monte Carlo simulation was used to predict the CD error due to intrafield dose and focus errors. The contribution to CD error due to the mask was determined using mask CD control values in the ITRS and a calculated MEEF value at various defocus settings. The contribution to CD error due to PEB temperature variations, across wafer dose variations, and variation of aberrations and flare within the exposure field was also simulated. To meet ITRS CD control targets for 130-nm and 90-nm nodes, an alternating PSM mask is required along with a larger CD printed in resist than indicated in the ITRS. Meeting ITRS CD control requirements for 65-nm node and beyond not possible using assumptions detailed here, even with a near ideal APSM. The simulations predicted that if a relaxed pitch and a larger CD in resist were used at the 32nm node, 193nm immersion lithography in combination with a nearly ideal alternating PSM might provide CD control that is comparable to that obtainable using extreme ultraviolet lithography (EUVL).


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Novel silicon-containing resists for EUV and 193-nm lithography

Carl R. Kessel; Larry D. Boardman; Steven J. Rhyner; Jonathan L. Cobb; Craig C. Henderson; Veena Rao; Uzodinma Okoroanyanwu

Two families of polymers have been prepared and evaluated as silicon-containing bilayer resist candidates at both 193 nm and 13.4 nm (EUV). Both families of polymers are based on a tertiary ester protecting group in which the ester group contains a silicon cluster. The PRB family of polymers are random methacrylate copolymers and the PRC family are alternating maleic anhydride/norbornene polymers. The PRB family shows good resolution and sensitivity at both 193 nm and EUV, but suffers from adhesion failure between the imaging layer and the underlayer. The PRC polymers show good adhesion to underlayers and can print features at

Collaboration


Dive into the Jonathan L. Cobb's collaboration.

Top Co-Authors

Avatar

Craig C. Henderson

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Donna J. O'Connell

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge