Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Dale L. Hetherington is active.

Publication


Featured researches published by Dale L. Hetherington.


Journal of Electronic Materials | 1996

Optical interferometry for surface measurements of CMP pads

David J. Stein; Dale L. Hetherington; Mike Dugger; Tom Stout

Optical interferometry was used to quantitatively characterize the surface of chemical-mechanical polishing (CMP) pads used to polish oxide films. We discuss the optical interferometry technique, including a description of the parameters necessary to compare pad samples. Flat, mesa-like structures formed on the pad during the first 5 min polish when conditioning was not used. The data from the optical interferometer indicated that the surface topography did not change with subsequent polishing, even though the thermal oxide removal rate continued to decrease. We found conditioning roughened the pad surface. Rougher pad surfaces removed more oxide during a single 5 min polish than comparatively smooth pad surfaces. Data indicates that conditioning increases and stabilizes pad surface roughness.


Journal of The Electrochemical Society | 1999

Investigation of the Kinetics of Tungsten Chemical Mechanical Polishing in Potassium Iodate‐Based Slurries: I. Role of Alumina and Potassium lodate

David J. Stein; Dale L. Hetherington; Joseph L. Cecchi

We investigated aspects of the kinetics of tungsten chemical mechanical polishing (CMP) in iodate‐based slurries. Specifically, we performed experiments in which we measured the tungsten polish rate and process temperature as a function of alumina concentration, potassium iodate concentration, platen temperature, polish pressure, polish rotation rate, and pad type. We found that the polish rate data fit a multiterm regression model better than the empirical Preston equation. Polish rate was found to vary with all of the factors investigated. Process temperature varied with all of the factors except potassium iodate concentration. These results, in combination with an energy balance on the entire process, indicate the change in temperature due to alumina concentration is mostly due to energy input from increased shaft work. This implies that the chemical and physical interactions between the alumina and tungsten surfaces are complex and play an important role in the mechanism of tungsten removal during CMP.


Journal of The Electrochemical Society | 1999

Investigation of the Kinetics of Tungsten Chemical Mechanical Polishing in Potassium Iodate‐Based Slurries: II. Roles of Colloid Species and Slurry Chemistry

David J. Stein; Dale L. Hetherington; Joseph L. Cecchia

We investigated the role of colloid species and slurry chemistry in tungsten chemical mechanical polishing. Specifically, we measured polish rate and process temperature in potassium iodate-based slurries as a function of colloid species and concentration, slurry pH, and potassium iodate concentration, as well as polish pressure and polish rotation rate. We investigated slurries containing yttrium-, zirconium-, cerium-, and aluminum-based oxide and hydroxide colloids. We found that the colloid species had a large effect on polish rate and process temperature. The colloids showed two orders of magnitude in the polish rate range from ∼15 to ∼1975 A min -1 under otherwise identical experimental conditions (same polisher, pad, slurry chemistry, pressure, and rotation rates). Colloids of the same metal species from different sources also showed a large range in polish rates. Process temperature was a function of colloid species, however, the trend in polish rate did not always follow that of process temperature. Both polish rate and process temperature were dependent on potassium iodate concentration and slurry pH (controlled with a buffer). We also introduce a heuristic polish mechanism to investigate the role of the colloid surface chemistry and its interaction with the tungsten surface. The data indicate that the surface characteristics of the colloid and how this surface interacts with the tungsten surface play a significant role in the mechanism of tungsten removal during polish.


Journal of Tribology-transactions of The Asme | 2005

Revisiting the Removal Rate Model for Oxide CMP

J. Sorooshian; Leonard Borucki; David J. Stein; Robert Timon; Dale L. Hetherington; Ara Philipossian

This study seeks to explain removal rate trends and scatter in thermal silicon dioxide and PECVD tetraethoxysilane-sourced silicon dioxide (PE-TEOS) CMP using an augmented version of the Langmuir-Hinshelwood mechanism. The proposed model combines the chemical and mechanical facets of interlevel dielectric (ILD) CMP and hypothesizes that the chemical reaction temperature is determined by transient flash heating. The agreement between the model and data suggests that the main source of apparent scatter in removal rate data plotted as rate versus pressure times velocity is competition between mechanical and thermochemical mechanisms. A method of visualizing removal rate data is described that shows, apart from any particular interpretative theory, that a smooth and easily interpretable surface underlies the apparent scatter.


MRS Proceedings | 2001

Wafer Nanotopography Effects on CMP: Experimental Validation of Modeling Methods

Brian Lee; Duane S. Boning; Winthrop A. Baylies; Noel Poduje; Pat Hester; Yong Xia; John Francis Valley; Chris Koliopoulus; Dale L. Hetherington; Hongjiang Sun; Michael S. Lacy

Nanotopography refers to 10-100 nm surface height variations that exist on a lateral millimeter length scale on unpatterned silicon wafers. Chemical mechanical polishing (CMP) of deposited or grown films (e.g., oxide or nitride) on such wafers can generate undesirable film thinning which can be of substantial concern in shallow trench isolation (STI) manufacturability. Proper simulation of the effect of nanotopography on post-CMP film thickness is needed to help in the measurement, analysis, diagnosis, and correction of potential problems. Our previous work has focused on modeling approaches that seek to capture the thinning and post-CMP film thickness variation that results from nanotopography, using different modeling approaches. The importance of relative length scale of the CMP process used (planarization length) to the length scale of the nanotopography on the wafer (nanotopography length) has been suggested. In this work, we report on extensive experiments using sets of 200 mm epi wafers with a variety of nanotopography signatures (i.e., different nanotopography lengths), and CMP processes of various planarization lengths. Experimental results indicate a clear relationship between the relative scales of planarization length and nanotopography length: when the planarization length is less than the nanotopography length, little thinning occurs; when the CMP process has a larger planarization length, surface height variations are transferred into thin film thickness variations. In addition to presenting these experimental results, modeling of the nanotopography effect on dielectric CMP processes is reviewed, and measurement data from the experiments are compared to model predictions. Results show a good correlation between the model prediction and the experimental data.


Journal of Materials Research | 1999

ATOMIC FORCE MICROSCOPY, LATERAL FORCE MICROSCOPY, AND TRANSMISSION ELECTRON MICROSCOPY INVESTIGATIONS AND ADHESION FORCE MEASUREMENTS FOR ELUCIDATION OF TUNGSTEN REMOVAL MECHANISMS

David J. Stein; Joseph L. Cecchi; Dale L. Hetherington

We investigated various interactions between alumina and tungsten films that occur during chemical mechanical polishing (CMP). Atomic force microscopy surface topography measurements of post-CMP tungsten indicate that the roughness of the tungsten is independent of polish pressure and rotation rate. Pure mechanical abrasion is therefore an unlikely mechanism of material removal during CMP. Transmission electron microscopy images corroborate these results. The adhesion force between alumina and tungsten was measured in solution. The adhesive force increased with KIO{sub 3} concentration. Friction forces were measured in solution using lateral force microscopy. The friction force in buffered solutions was independent of KIO{sub 3} concentration. These results indicate that interactions other than purely mechanical interactions exist during CMP. {copyright} {ital 1999 Materials Research Society.}


Electrochemical and Solid State Letters | 2004

Effect of Process Temperature on Coefficient of Friction during CMP

J. Sorooshian; Dale L. Hetherington; Ara Philipossian

This study investigates the effect of heat generation and thermal inputs on the frictional characteristics of interlayer dielectric (ILD) and copper chemical mechanical planarization (CMP) processes. A series of ILD and copper polishes were completed with controlled pad temperatures of ∼12, 22, 33, and 45°C and various pressures and velocities. Coefficient of friction results indicated an increasing trend for ILD and copper polishing with a rise in polishing temperature. Dynamic mechanical analysis of the used polishing pads revealed links between the softening effects of the pad with rising temperatures and increased shear forces resulting from the contact of the pad and wafer during polishing. The results presented are critical for establishing pad designs with stable dynamic mechanical properties and prolonged pad life.


In-line characterization, yields, reliability, and failure analysis in microelectronic manufacturing. Conference | 2001

Recent advances in endpoint and in-line monitoring techniques for chemical-mechanical polishing processes

David J. Stein; Dale L. Hetherington

We present a summary of the recent advances in endpoint and in-line monitoring techniques for chemical-mechanical polishing (CMP) processes. We discuss the technical challenges and review some of the approaches that have been published and/or patented. These methods include optical, thermal (pad temperature), friction (torque motor current), electrochemical, chemical, electrical, and acoustic (vibration). We also present experimental data obtained in our laboratory using selected endpoint methods for metal and oxide CMP.


Electrochemical and Solid State Letters | 2004

Estimating the Effective Pressure on Patterned Wafers during STI CMP

J. Sorooshian; Leonard Borucki; Robert Timon; David J. Stein; Duane S. Boning; Dale L. Hetherington; Ara Philipossian

Removal rate results obtained from a 150 mm Speedfam-IPEC 472 polisher, coupled with a proven removal rate model has allowed for the determination of effective pressure (i.e., the actual pressure exerted on the structuresof a patterned wafer) during chemical mechanical planarization (CMP) of high-density plasma-filled shallow trench isolation (STI) wafers. Results showed that the ratio of derived effective pressure to applied wafer pressure was 2.2, 1.7, and 1.3 for 10, 50, and 90% density wafers, respectively. The relative consistency of these ratios indicates that the effective pressure experienced during polishing is not impacted by pattern density in a proportionate manner.


In-line characterization, yield reliability, and failure analyses in microelectronic manufacturing. Conference | 1999

Prediction of tungsten CMP pad life using blanket removal rate data and endpoint data obtained from process temperature and carrier motor current measurements

David J. Stein; Dale L. Hetherington

Several techniques to predict pad failure during tungsten CMP were investigated for a specific consumable set. These techniques include blanket polish rate measurements and metrics derived from two endpoint detection schemes. Blanket polish rate decreased significantly near pad failure. Metrics from the thermal endpoint technique included change in peak temperature, change in the time to reach peak temperature, and the change in the slope of the temperature trace just prior to peak temperature all as a function of pad life. Average carrier motor current before endpoint was also investigated. Changes in these metrics were observed however these changes, excluding time to peak process temperature, were either not consistent between pads or too noisy to be reliable predictors of pad failure.

Collaboration


Dive into the Dale L. Hetherington's collaboration.

Top Co-Authors

Avatar

David J. Stein

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Robert Timon

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Todd Bauer

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Duane S. Boning

Massachusetts Institute of Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

James G. Fleming

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Jeffry J. Sniegowski

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Paul C. Galambos

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Paul J. Resnick

Sandia National Laboratories

View shared research outputs
Researchain Logo
Decentralizing Knowledge