Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where David William Boerstler is active.

Publication


Featured researches published by David William Boerstler.


IEEE Journal of Solid-state Circuits | 1999

A low-jitter PLL clock generator for microprocessors with lock range of 340-612 MHz

David William Boerstler

A fully integrated, phase-locked loop (PLL) clock generator/phase aligner for the POWER3 microprocessor has been designed using a 2.5-V, 0.40-/spl mu/m digital CMOS6S process. The PLL design supports multiple integer and noninteger frequency multiplication factors for both the processor clock and an L2 cache clock. The fully differential delay-interpolating voltage-controlled oscillator (VCO) is tunable over a frequency range determined by programmable frequency limit settings, enhancing yield and application flexibility. PLL lock range for the maximum VCO frequency range settings is 340-612 MHz. The charge-pump current is programmable for additional control of the PLL loop dynamics. A differential on-chip loop filter with common-mode correction improves noise rejection. Cycle-cycle jitter measurements with the microprocessor actively executing instructions were 10.0 ps rms, 80 ps peak to peak (P-P) measured from the clock tree. Cycle-cycle jitter measured for the processor in a reset state with the clock tree active was 8.4 ps rms, 62 ps P-P. PLL area is 1040/spl times/640 /spl mu/m/sup 2/. Power dissipation is <100 mW.


international solid-state circuits conference | 2014

5.2 Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8 TM microprocessor

Zeynep Toprak-Deniz; Michael A. Sperling; John F. Bulzacchelli; Gregory Scott Still; Ryan Kruse; Seongwon Kim; David William Boerstler; Tilman Gloekler; Raphael Robertazzi; Kevin Stawiasz; Timothy Diemoz; George English; David T. Hui; Paul Muench; Joshua Friedrich

Integrated voltage regulator modules (iVRMs) [1] provide a cost-effective path to realizing per-core dynamic voltage and frequency scaling (DVFS), which can be used to optimize the performance of a power-constrained multi-core processor. This paper presents an iVRM system developed for the POWER8™ microprocessor, which functions as a very fast, accurate low-dropout regulator (LDO), with 90.5% peak power efficiency (only 3.1% worse than an ideal LDO). At low output voltages, efficiency is reduced but still sufficient to realize beneficial energy savings with DVFS. Each iVRM features a bypass mode so that some of the cores can be operated at maximum performance with no regulator loss. With the iVRM area including the input decoupling capacitance (DCAP) (but not the output DCAP inherent to the cores), the iVRMs achieve a power density of 34.5W/mm2, which exceeds that of inductor-based or SC converters by at least 3.4× [2].


international solid-state circuits conference | 1998

A 1.0-GHz single-issue 64-bit powerPC integer processor

Joel Abraham Silberman; Naoaki Aoki; David William Boerstler; Jeffrey L. Burns; Sang Hoo Dhong; Axel Essbaum; Uttam Shyamalindu Ghoshal; David F. Heidel; Peter Hofstee; Kyung Tek Lee; David Meltzer; Hung Ngo; Kevin J. Nowka; Stephen D. Posluszny; Osamu Takahashi; Ivan Vo; Brian Zoric

This 64 b single-issue integer processor, comprised of about one million transistors, is fabricated in a 0.15 /spl mu/m effective channel length, six-metal-layer CMOS technology. Intended as a vehicle to explore circuit, clocking, microarchitecture, and methodology options for high-frequency processors, the processor prototype implements 60 fixed-point compare, logical, arithmetic, and rotate-merge-mask instructions of the PowerPC instruction-set architecture with single-cycle latency. The processor executes programs written in this instruction subset from cache with a 1 ns cycle. In addition, the prototype implements 36 PowerPC load/store instructions that execute as single-cycle operations (zero wait cycles) with 1.15 ns latency. Full data forwarding and full at speed scan testing are supported.


international conference on computer design | 1998

Design methodology for a 1.0 GHz microprocessor

Stephen D. Posluszny; Nobumasa Aoki; David William Boerstler; Jeffrey L. Burns; Sang Hoo Dhong; Uttam Shyamalindu Ghoshal; H. Peter Hofstee; David P. LaPotin; Kyung Tek Lee; David Meltzer; Hung C. Ngo; Kevin J. Nowka; Joel Abraham Silberman; Osamu Takahashi; Ivan Vo

This paper describes the design methodology used to build an experimental 1.0 GigaHertz PowerPC integer microprocessor at IBMs Austin Research Laboratory. The high frequency requirements dictated the chip composition to be almost entirely custom macros using dynamic circuit techniques. The methodology presented will cover design and verification tools as well as circuit constraints and microarchitecture philosophy. The microarchitecture, circuits and tools were defined by the high frequency requirements of the processor as well as the aggressive design schedule and size of the design team.


IEEE Journal of Solid-state Circuits | 2015

The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking

Eric Fluhr; Steve Baumgartner; David William Boerstler; John F. Bulzacchelli; Timothy Diemoz; Daniel M. Dreps; George English; Joshua Friedrich; Anne E. Gattiker; Tilman Gloekler; Christopher J. Gonzalez; Jason D. Hibbeler; Keith A. Jenkins; Yong Kim; Paul Muench; Ryan Nett; Jose Angel Paredes; Juergen Pille; Donald W. Plass; Phillip J. Restle; Raphael Robertazzi; David Shan; David W. Siljenberg; Michael A. Sperling; Kevin Stawiasz; Gregory Scott Still; Zeynep Toprak-Deniz; James D. Warnock; Glen A. Wiedemeier; Victor Zyuban

POWER8™ is a 12-core processor fabricated in IBMs 22 nm SOI technology with core and cache improvements driven by big data applications, providing 2.5× socket performance over POWER7+™. Core throughput is supported by 7.6 Tb/s of off-chip I/O bandwidth which is provided by three primary interfaces, including two new variants of Elastic Interface as well as embedded PCI Gen-3. Power efficiency is improved with several techniques. An on-chip controller based on an embedded PowerPC™ 405 processor applies per-core DVFS by adjusting DPLLs and fully integrated voltage regulators. Each voltage regulator is a highly distributed system of digitally controlled microregulators, which achieves a peak power efficiency of 90.5%. A wide frequency range resonant clock design is used in 13 clock meshes and demonstrates a minimum power savings of 4%. Power and delay efficiency is achieved through the use of pulsed-clock latches, which require statistical validation to ensure robust yield.


international solid-state circuits conference | 2000

A 1 GHz single-issue 64 b PowerPC processor

Peter Hofstee; Naoaki Aoki; David William Boerstler; Paula Kristine Coulman; Sang Hoo Dhong; Brian Flachs; N. Kojima; O. Kwon; Kyung Tek Lee; David Meltzer; Kevin J. Nowka; J. Park; J. Peter; Stephen D. Posluszny; M. Shapiro; Joel Abraham Silberman; Osamu Takahashi; B. Weinberger

This 64 b single-issue PowerPC processor contains 19M transistors and is fabricated in 0.12 /spl mu/m L/sub eff/ six-layer copper interconnect CMOS. Nominal processor clock frequency is 1.0 GHz. At the fast end of the process distribution the processor reaches 1.15 GHz (1.87 V, 101/spl deg/C, 112 W). As in a previous design, nearly the entire processor is implemented using delayed-reset and self-resetting dynamic circuit macros. New contributions include: (1) a fully pipelined, four execution-stage IEEE double-precision floating-point unit (FPU) with fused multiply-add. 2) Sum-addressed memory management units (MMUs) and 64 kB 2-cycle caches. (3) Support for the full 64 b PowerPC instruction set. (4) Dynamic PLA-based control. (5) A microarchitecture and floorplan that balances critical paths. (6) Delayed-reset dynamic circuits that support stress testing (burn-in). 7) Improved clock generation and distribution.


design automation conference | 2000

“Timing closure by design,” a high frequency microprocessor design methodology

Stephen D. Posluszny; Naoaki Aoki; David William Boerstler; Paula Kristine Coulman; Sang Hoo Dhong; B. Flachs; Peter Hofstee; N. Kojima; O. Kwon; K. Lee; David Meltzer; Kevin J. Nowka; J. Park; J. Peter; Joel Abraham Silberman; Osamu Takahashi; P. Villarrubial

This paper presents a design methodology emphasizing early and quick timing closure for high frequency microprocessor designs. This methodology was used to design a Gigahertz class PowerPC microprocessor with 19 million transistors. Characteristics of “Timing Closure by Design are 1) logic partitioned on timing boundaries, 2) predictable control structures (PLAs), 3) static interfaces for dynamic circuits, 4) low skew clock distribution, 5) deterministic method of macro placement, 6) simplified timing analysis, and 7) refinement method of chip integration with early timing analysis.


Ibm Journal of Research and Development | 1992

IBM Enterprise Systems multimode fiber optic technology

Nancy R. Aulet; David William Boerstler; George Demario; Frank D. Ferraiolo; Curtis E. Hayward; Charles D. Heath; Allen L. Huffman; William R. Kelly; Gerald W. Peterson; Daniel J. Stigliani

This paper describes the first implementation of optical fiber technology for the I/O channel connections of the IBM Enterprise Systems Connection (ESCON™) Architecture™. The ESCON optical link line rate is 200 megabits per second and is capable of transmission over distances of 3 km. The link is composed of a serializer, electro-optic transmitter, duplex fiber optic cable, electro-optic receiver, and deserializer. The serializer and deserializer respectively perform the conversions from parallel to serial and serial to parallel formats. The clock which is used to retime the serial data in the deserializer is extracted from the encoded serial signal using a phase-locked loop (PLL) technique. The optical link technology selected to achieve the data processing system requirements is InGaAsP/InP 1300-nm LED, InGaAsP/InP PIN photodiode, and multimode optical fiber. A duplex fiber jumper cable is designed with a rugged, low-profile, polarized connector, with a unique protective cap which recedes as it is mated. The optical link loss budget is determined by dividing the link into two major categories: available optical power and cable plant loss. The link design ensures that the minimum available power is greater than the maximum cable plant loss. The design parameters and trade-offs of the optical link are discussed in this paper. Unique measurement techniques and tools to ensure reliable and consistent link performance are described.


Ibm Journal of Research and Development | 2013

True hardware random number generation implemented in the 32-nm SOI POWER7+ processor

John Samuel Liberty; A. Barrera; David William Boerstler; T. B. Chadwick; S. R. Cottier; Harm Peter Hofstee; J. A. Rosser; M. L. Tsai

This paper provides a description of the hardware random number generator that is implemented on the IBM POWER7+™ processor. We discuss the underlying mechanism using basic ring oscillator circuits implemented in standard digital logic circuits. The source of entropy is based on sampling phase jitter in the ring oscillators, and the rate of phase jitter accumulation is measured. We show that the design is simple and robust yet able to generate a high rate of random bits while using a minimum of logic area. The design is very resistant to physical manipulation, being able to produce solid entropy values under environmental conditions that exceed the requirements of the surrounding circuitry. With a design-specific mechanism to correct for ring oscillator sample bias, the output shows a very high rate of entropy, which is validated.


symposium on vlsi circuits | 2004

A 10+ GHz low jitter wide band PLL in 90 nm PD SOI CMOS technology

David William Boerstler; K. Miki; E. Hailu; H. Kihara; E. Lukes; J. Peter; S. Pettengill; J. Qi; J. Strom; M. Yoshida

We report a wide band low jitter PLL implemented in 90 nm partially depleted (PD) Silicon-On-Insulator (SOI) CMOS technology. Using the thick and thin gate dielectric/oxide options available, two separate PLL designs are implemented. At a 1.5 V supply, the maximum operating frequency of the PLL is 13.9 GHz and 7.5 GHz for the thin and thick gate oxide designs, respectively. At a 1.5 V supply, with a feedback divide ratio of 8, cycle-to-cycle (C-C) jitter was measured at 14.2 ps P-P/2.1 ps RMS and 11.1 ps P-P/1.6 ps RMS for the thin and thick oxide designs, respectively. At 2.1 V the maximum operating frequency of the thin oxide PLL is 17.3 GHz and at 16 GHz has 8.9 ps P-P/1.2 ps RMS C-C jitter, while the maximum frequency for the thick oxide PILL is 10.4 GHz. To our knowledge, these results show the highest frequency to date of any CMOS PLL and the lowest jitter of any known wide band CMOS PLL.

Researchain Logo
Decentralizing Knowledge