Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Diederik Verkest is active.

Publication


Featured researches published by Diederik Verkest.


international conference on power aware computing and systems | 2007

Energy-aware compilation and hardware design for VLIW embedded systems

José L. Ayala; Marisa López-Vallejo; David Atienza; Praveen Raghavan; Francky Catthoor; Diederik Verkest

Tomorrows embedded devices need to run multimedia applications demanding high computational power with low energy consumption constraints. In this context, the register file is a key source of power consumption and its inappropriate design and management severely affects system power. In this paper, we present a new approach to reduce the energy of shared register files in forthcoming embedded VLIW processors running real-life applications up to 60% without performance penalty. This approach relies on limited hardware extensions and a compiler-based energy-aware register assignment algorithm to deactivate at run-time parts of the register file (i.e., sub-banks) in an independent way.


power and timing modeling, optimization and simulation | 2006

Compiler-driven leakage energy reduction in banked register files

David Atienza; Praveen Raghavan; José L. Ayala; Giovanni De Micheli; Francky Catthoor; Diederik Verkest; Marisa López-Vallejo

Tomorrows embedded devices need to run high-resolution multimedia applications which need an enormous computational complexity with a very low energy consumption constraint. In this context, the register file is one of the key sources of power consumption and its inappropriate design and management can severely affect the performance of the system. In this paper, we present a new approach to reduce the energy of the shared register file in upcoming embedded VLIW architectures with several processing units. Energy savings up to a 60% can be obtained in the register file without any performance penalty. It is based on a set of hardware extensions and a compiler-based energy-aware register assignment algorithm that enable the de/activation of parts of the register file (i.e. sub-banks) in an independent way at run-time, which can be easily included in these embedded architectures.


IEEE Transactions on Very Large Scale Integration Systems | 2017

Statistical Timing Analysis Considering Device and Interconnect Variability for BEOL Requirements in the 5-nm Node and Beyond

Trong Huynh-Bao; Julien Ryckaert; Zsolt Tokei; Abdelkarim Mercha; Diederik Verkest; Aaron Thean; Piet Wambacq

In an increasing interconnect resistance era and aggressive metal pitch scaling, the elevating RC delay could significantly shadow the improvements from advanced device architectures and become a severe design issue. This paper will holistically analyze the interplay between transistors and interconnect delay and the variability induced by back-end-of-line (BEOL) process for the 5-nm node. A global sensitivity analysis using Monte Carlo simulation is employed as a powerful tool for understanding the significance of different variation sources and propagating these process uncertainties to circuit performance and parametric yield. For the BEOL integration process, our results show that dielectric <inline-formula> <tex-math notation=LaTeX>


IEEE Transactions on Electron Devices | 2016

A Comprehensive Benchmark and Optimization of 5-nm Lateral and Vertical GAA 6T-SRAMs

Trong Huynh-Bao; Sushil Sakhare; D. Yakimets; Julien Ryckaert; Aaron Thean; Abdelkarim Mercha; Diederik Verkest; Piet Wambacq

{kappa }


Research in Microelectronics and Electronics, 2005 PhD | 2005

Exploration of system-level trade-offs for application mapping in multiprocessor system-on-chips

Bert Geelen; Erik Brockmeyer; Gauthier Lafruit; Rudy Lauwereins; Diederik Verkest

</tex-math></inline-formula>-value is the most sensitive parameter. Regarding the patterning options, the BEOL process using self-aligned quadruple pattering with positive tone process requires more than a <inline-formula> <tex-math notation=LaTeX>


Archive | 2008

System and method for hardware-software multitasking on a reconfigurable computing platform

Vincent Nollet; Paul Coene; Jean-Yves Mignolet; Serge Vernalde; Diederik Verkest; Theodore Marescaux; Andrei Bartic

4times


Archive | 2002

Enabling hardware-software multitasking on a reconfigurable computing platform for networked portable multimedia appliances

Jean-Yves Mignolet; Serge Vernalde; Diederik Verkest; Rudy Lauwereins

</tex-math></inline-formula> process margin and suffers from 50% parametric yield loss. The required guardband for litho-etch litho-etch becomes as critical as for the self-aligned double patterning process when the overlay control is <inline-formula> <tex-math notation=LaTeX>


Archive | 2005

Energy aware interconnect exploration of coarse grained reconfigurable processors

Andy Lambrechts; Praveen Raghavan; Murali Jayapala; Diederik Verkest; Francky Catthoor

6times


Microelectronic Engineering | 2012

Review Article: Linking EUV lithography line edge roughness and 16nm NAND memory performance

Alessandro Vaglio Pret; Pavel Poliakov; Roel Gronheid; Pieter Blomme; Miguel Miranda Corbalan; Wim Dehaene; Diederik Verkest; Jan Van Houdt; Davide Bianchi

</tex-math></inline-formula> higher than the critical dimension control. For trench patterning using spacer-defined techniques, a negative tone process is required to achieve a large process window. From a design perspective, the wire length in SoC can be optimized using a disruptive architecture as a vertical FET, which could potentially reduce the average wire length by 11%.


Archive | 2000

Large impact of task-level concurrency transformations on the MPEG4 IM1 player for weakly parallel processor platforms

Pol Marchal; Chun Wong; Aggeliki Prayati; Nathalie Cossement; Francky Catthoor; Rudy Lauwereins; Diederik Verkest; Hugo De Man

In this paper, we present an intensive study of 6T-SRAM designs for vertical gate-all-around (GAA) transistors (VFETs) and lateral GAA transistors (LFETs) using 5-nm node design rules. Optimizations of the nanowire (NW) diameter and the gate length are also conducted to enhance the SRAM performance. Device VT retargeting has been proposed for improving the minimum operating voltage (Vmin) of SRAMs. The isoperformance and isoyield have been performed to assess and determine the benefits provided by LFET and VFET architectures, respectively. Our results show that the VFET bitcells are denser than the LFET bitcells by 20%-30%. The SRAM read stability (read static noise margin) is significantly improved using the NW channel. For a 6σ yield target and an isoarea of SRAM bitcells, Vmin of the VFET bitcell is 80 mV lower than LFET designs. Applying the proposed VT retargeting technique can allow the VFET 122 bitcell to operate at 0.57 V without using assist circuits. A standby leakage below 10 pA/cell can be achieved for both architectures. At isoperformance, the standby leakage of VFET bitcells is 2.6× lower than LFET bitcells.

Collaboration


Dive into the Diederik Verkest's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Praveen Raghavan

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Serge Vernalde

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Jean-Yves Mignolet

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Rudy Lauwereins

National Science Foundation

View shared research outputs
Top Co-Authors

Avatar

Paul Coene

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge