Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Praveen Raghavan is active.

Publication


Featured researches published by Praveen Raghavan.


IEEE Transactions on Electron Devices | 2015

Vertical GAAFETs for the Ultimate CMOS Scaling

D. Yakimets; Geert Eneman; P. Schuddinck; Trong Huynh Bao; Marie Garcia Bardon; Praveen Raghavan; A. Veloso; Nadine Collaert; Abdelkarim Mercha; Diederik Verkest; Aaron Thean; Kristin De Meyer

In this paper, we compare the performances of FinFETs, lateral gate-all-around (GAA) FETs, and vertical GAAFETs (VFETs) at 7-nm node dimensions and beyond. Comparison is done at ring oscillator level accounting not only for front-end of line devices but also for interconnects. It is demonstrated that FinFETs fail to maintain the performance at scaled dimensions, while VFETs demonstrate good scalability and eventually outperform lateral devices both in speed and power consumption. Lateral GAAFETs show better scalability with respect to FinFETs but still consume 35% more energy per switch than VFETs if made under 5-nm node design rules.


IEEE Signal Processing Magazine | 2010

Future Software-Defined Radio Platforms and Mapping Flows

Martin Palkovic; Praveen Raghavan; Min Li; Antoine Dejonghe; L. Van der Perre; Francky Catthoor

A software-defined radio (SDR) system is a radio communication system in which physical layer components are implemented on a programmable or reconfigurable platform. The modulation and demodulation is performed in software and thus the radio is able to support a broad ran: of frequencies and functions concurrently. In the ideal SDR transceiver scheme, an analog-to-digital converter (ADC) and a digital-to-analog converter (DAC) are attached to the antenna. This would imply that a digital signal processor (DSP) is connected to the ADC and the DAC, directly performing signal processing for the streams of data from/to antenna. Today, the ideal SDR transceiver scheme is still not feasible and thus some processing has to happen in the reconfigurable analog front end.


international reliability physics symposium | 2013

Defect-based methodology for workload-dependent circuit lifetime projections - Application to SRAM

Pieter Weckx; B. Kaczer; M. Toledano-Luque; Tibor Grasser; Ph. Roussel; Halil Kukner; Praveen Raghavan; Francky Catthoor; Guido Groeseneken

Despite a number of recent advances made in understanding bias temperature instability (BTI), there is still no simple simulation methodology available which can capture the impact of BTI degradation on deeply scaled transistors, while incorporating the widely distributed defect parameters. We present a physics-based defect-controlled methodology for projecting defect property distributions into circuit lifetime and performance distributions. This methodology allows evaluating the entire population of traps (from fast to slow recoverable and permanent traps), which results in faster simulation and proper extrapolation towards long operating lifetimes.


signal processing systems | 2008

A unified instruction set programmable architecture for multi-standard advanced forward error correction

Frederik Naessens; Bruno Bougard; S. Bressinck; Lieven Hollevoet; Praveen Raghavan; L. Van der Perre; Francky Catthoor

The continuously increasing number of communication standards to be supported in nomadic devices combined with the fast ramping design cost in deep submicron technologies claim for highly reusable and flexible programmable solutions. Software defined radio (SDR) aims at providing such solutions in radio baseband architectures. Great advances were recently booked in handset-targeted SDR, covering most of the baseband processing with satisfactory performance and energy efficiency. However, as it typically depicts a magnitude higher computation load, forward error correction (FEC) has been excluded from the scope of high throughput SDR solutions and let to dedicated hardware accelerators. The currently growing number of advanced FEC options claims however for flexibility there too. This paper presents the first application-specific instruction programmable architecture addressing in a unified way the emerging turbo- and LPDC coding requirements of 3GPP-LTE, IEEE802.11n, IEEE802.16(e) and DVB-S2/T2. The proposal shows a throughput from 0.07 to 1.25 Mbps/MHz with efficiencies round 0.32 nJ/bit/iter in turbo mode and round 0.085 nJ/bit/iter in LDPC mode. The area is lower than the cumulated area of dedicated turbo and LDPC solution.


design, automation, and test in europe | 2006

Distributed Loop Controller Architecture for Multi-threading in Uni-threaded VLIW Processors

Praveen Raghavan; Andy Lambrechts; Murali Jayapala; Francky Catthoor; Diederik Verkest

Reduced energy consumption is one of the most important design goals for embedded application domains like wireless, multimedia and biomedical. Instruction memory hierarchy has been proven to be one of the most power hungry parts of the system. This paper introduces an architectural enhancement for the instruction memory to reduce energy and improve performance. The proposed distributed instruction memory organization requires minimal hardware overhead and allows execution of multiple loops in parallel in a uni-processor system. This architecture enhancement can reduce the energy consumed in the instruction and data memory hierarchy by 70.01 % and improve the performance by 32.89% compared to enhanced SMT based architectures


application-specific systems, architectures, and processors | 2005

Power breakdown analysis for a heterogeneous NoC platform running a video application

Andy Lambrechts; Praveen Raghavan; Anthony Leroy; Guillermo Talavera; Tom Vander Aa; Murali Jayapala; Francky Catthoor; Diederik Verkest; Geert Deconinck; Henk Corporaal; Frédéric Robert; Jordi Carrabina

Users expect future handheld devices to provide extended multimedia functionality and have long battery life. This type of application imposes heavy constraints on performance and power consumption and forces designers to optimize all parts of their platform. Evaluating the overall platform power breakdown is therefore critical to determine where to spend the efforts on power optimization. Surprisingly, few studies exist on that topic and decisions generally rely on common belief. We have realized a complete power breakdown for a realistic platform to identify the major power bottlenecks. This paper presents this power assessment of a realistic heterogeneous network on chip platform including processors, network and data/instruction memory hierarchy, running a video processing chain from camera to display. Our power breakdown identifies the main bottlenecks in the memory hierarchy and the foreground memory, and shows that global interconnect is not that critical for a well-optimized application mapping.


IEEE Transactions on Electron Devices | 2014

Implications of BTI-Induced Time-Dependent Statistics on Yield Estimation of Digital Circuits

Pieter Weckx; Ben Kaczer; M. Toledano-Luque; Praveen Raghavan; Jacopo Franco; Philippe Roussel; Guido Groeseneken; Francky Catthoor

This paper describes the implications of bias temperature instability (BTI)-induced time-dependent threshold voltage distributions on the performance and yield estimation of digital circuits. The statistical distributions encompassing both time-zero and time-dependent variability and their correlations are discussed. The impact of using normally distributed threshold voltages, imposed by state-of-the-art design approaches, is contrasted with our defect-centric approach. Extensive Monte Carlo simulation results are shown for static random access memory cell and ring oscillator structures.


signal processing systems | 2013

Coarse-Grained Reconfigurable Array Architectures

Bjorn De Sutter; Praveen Raghavan; Andy Lambrechts

Coarse-Grained Reconfigurable Array (CGRA) architectures accelerate the same inner loops that benefit from the high ILP support in VLIW architectures. By executing non-loop code on other cores, however, CGRAs can focus on such loops to execute them more efficiently. This chapter discusses the basic principles of CGRAs, and the wide range of design options available to a CGRA designer, covering a large number of existing CGRA designs. The impact of different options on flexibility, performance, and power-efficiency is discussed, as well as the need for compiler support. The ADRES CGRA design template is studied in more detail as a use case to illustrate the need for design space exploration, for compiler support and for the manual fine-tuning of source code.


design, automation, and test in europe | 2014

Bias Temperature Instability analysis of FinFET based SRAM cells

Seyab Khan; Innocent Agbo; Said Hamdioui; Halil Kukner; Ben Kaczer; Praveen Raghavan; Francky Catthoor

Bias Temperature Instability (BTI) is posing a major reliability challenge for todays and future semiconductor devices as it degrades their performance. This paper provides a comprehensive BTI impact analysis, in terms of time-dependent degradation, of FinFET based SRAM cell. The evaluation metrics are read Static Noise Margin (SNM), hold SNM and Write Trip Point (WTP); while the aspects investigated include BTI impact dependence on the supply voltage, cell strength, and design styles (6 versus 8 Transistors cell). A comparison between FinFET and planar CMOS based SRAM cells degradation is also covered. The simulation performed on FinFET based cells for 108 seconds of operation under nominal Vdd show that Read SNM degradation is 16.72%, which is 1.17× faster than hold SNM, while WTP improves by 6.82%. In addition, a supply voltage increment of 25% reduces the Read SNM degradation by 40%, while strengthening the cell pull-down transistors by 1.5× reduces the degradation by only 22%. Moreover, the results reveal that 8T cell degrades 1.31× faster than 6T cell, and that FinFET cells are more vulnerable (~2×) to BTI degradation than planar CMOS cells.


symposium on vlsi circuits | 2010

A 10.37 mm2 675 mW reconfigurable LDPC and Turbo encoder and decoder for 802.11n, 802.16e and 3GPP-LTE

Frederik Naessens; Veerle Derudder; Hans Cappelle; Lieven Hollevoet; Praveen Raghavan; M. Desmet; A.M. AbdelHamid; I. Vos; L. Folens; S. O'Loughlin; S. Singirikonda; Steven Dupont; Jan-Willem Weijers; Antoine Dejonghe; L. Van der Perre

This paper describes the implementation of a flexible Turbo and LDPC outer modem engine which is capable of supporting the WiFi(802.11n), WiMax(802.16e) and 3GPPLTE standard on the same hardware resources. The chip is implemented in a 65nm CMOS technology and occupies 10.37 mm2. The decoder flexibility is offered by means of an application-specific instruction-set processor (ASIP), with full datapath reuse between Turbo and LDPC decoding. The encoders are dedicated ASIC datapaths. The maximum clock speed can be set to 320 MHz allowing a decoder output rate for a single iteration in excess of 140 Mbps for Turbo and 640 Mbps for LDPC with a maximum power consumption of 675 mW. The architecture template has been extended to support other standards like the DVB-S2/T2 LDPC decoding as well.

Collaboration


Dive into the Praveen Raghavan's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Diederik Verkest

Vrije Universiteit Brussel

View shared research outputs
Top Co-Authors

Avatar

Liesbet Van der Perre

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Pieter Weckx

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Aaron Thean

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Robert Fasthuber

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Julien Ryckaert

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge