Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Doo-Hoon Goo is active.

Publication


Featured researches published by Doo-Hoon Goo.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Methodology of flare modeling and compensation in EUVL

Insung Kim; Hoyoung Kang; Chang-min Park; Joo-On Park; Jeong-Hoon Lee; Jin-Hong Park; Doo-Hoon Goo; Jeongho Yeo; Seong-Woon Choi; Woo-Sung Han

Flare in EUV mirror optics has been reported to be very high and long range effect due to its character which is inversely proportional to the 4th order of wavelength. The high level of flare will generate CD (Critical Dimension) variation problem in the area where the gradient of aerial pattern density is large while the long range influencing character would confront an issue of computational challenge either for OPC (Optical Proximity Correction) modeling or for any other practical ways to accommodate such a long range effect. There also exists another substantial challenge of measuring and characterizing such a long range flare accurately enough so that the characterized flare can successfully be used for the compensation in the standard OPC flow.


Proceedings of SPIE | 2009

The application of EUV lithography for 40nm node DRAM device and beyond

Joo-On Park; Cha-Won Koh; Doo-Hoon Goo; Insung Kim; Chang-min Park; Jeong-Hoon Lee; Jinhong Park; Jeongho Yeo; Seong-Woon Choi; Chan-Hoon Park

Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography technology for the 32 nm half-pitch node and beyond. We have evaluated the Alpha Demo Tool(ADT) characterizing for mixed-andmatched overlay(MMO), flare noise, and resolution limit. For process integration, one of the important things in EUVL is overlay capability. We performed an overlay matching test of a 1.35NA and 193 immersion tool using a low thermal expansion material(LTEM) mask. We also investigated the flare level of the EUV ADT for device applications. The current EUV tool has a higher flare level than ArF lithography tools. We applied a contact layer for 40nm node device integration to reduce the variation in critical dimension(CD) from the flare noise.


Journal of Vacuum Science & Technology B | 2007

Estimation of diffusion lengths of acid and quencher in chemically amplified resist on the basis of extreme ultraviolet exposure results

Yuusuke Tanaka; Yukiko Kikuchi; Doo-Hoon Goo; Hiroaki Oizumi; Iwao Nishiyama

Line- and space-type patterns with a half pitch (hp) of 32–65nm were printed with the extreme ultraviolet microexposure tool at the Lawrence Berkeley National Laboratory using the positive-tone chemically amplified resist MET-1K, and the diffusion lengths of the acid and quencher in the resist were estimated by fitting the calculated shapes of resist patterns to the experimental results. Simulations of the resist process employed an acid/quencher mutual-diffusion model. It was found that not only acid diffusion but also quencher diffusion had a considerable effect on the fidelity of hp 32–45nm patterns. When the diffusion lengths were assumed to be 40nm for the acid and 30nm for the quencher, the calculated shapes of resist patterns agreed well with the experimental results for both line- and space-type patterns. If quencher diffusion were not considered, the acid diffusion length would be underestimated, which would make it difficult to explain the shapes of various types of resist patterns using the sam...


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Evaluation of resolution and LER in the resist patterns replicated by EUV microexposure tools

Yukiko Kikuchi; Yuusuke Tanaka; Hiroaki Oizumi; Fumiaki Kumasaka; Doo-Hoon Goo; Iwao Nishiyama

To find resists having high resolution accompanied with good sensitivity and small LER is a big issue in EUV lithography to make path for volume manufacturing. We have started screening of resists by using high numerical aperture (NA) micro-exposure tool HiNA. Some of the results within 29 evaluated resists, including commercial and non-commercial, are presented with the consideration of relationship between optical conditions. The results obtained by another high NA micro-exposure tool MET located Berkeley National Laboratory are also shown and compared with the results by HiNA. In both exposure tools, down to 28 nm dense patterns were replicated but the LER was about 4 nm at best showing the requirement for further works


Proceedings of SPIE | 2007

Fidelity of rectangular patterns printed with 0.3-NA MET optics

Yuusuke Tanaka; Yukiko Kikuchi; Doo-Hoon Goo; Iwao Nishiyama

Arrays of rectangular patterns of various sizes were printed with the EUV micro-exposure tool (MET) at the Lawrence Berkeley National Laboratory (LBNL) using the chemically-amplified resist MET-1K; and their fidelity to the mask patterns was evaluated. The experimental results showed that the shortening of resist patterns in the lengthwise direction was greater for smaller patterns. For example, the line-end shortening of half-pitch (hp) 45-nm patterns was about 20-25 nm on one side, while that of hp-90-nm patterns was less than 10 nm. However, simulated aerial images exhibited little shortening, even for hp-45-nm patterns. On the other hand, considerable shortening appeared in hp-45-nm patterns after post-exposure-baking (PEB) process. When the acid diffusion length in the PEB process was assumed to be 20 nm, the calculated shapes of resist patterns agreed well with the experimental results for various sizes. Printing experiments showed that lowering the PEB temperature improved fidelity, probably due to the shorter acid diffusion length. Thus, we concluded that acid diffusion is the main cause of shortening in rectangular patterns printed with the MET. For better pattern fidelity, the acid diffusion length must be reduced in accordance with the reduction in pattern size.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Evaluation of bi-layer TaSix absorber on buffer for EUV mask

Koichiro Kanayama; Shinpei Tamura; Yasushi Nishiyama; Masashi Kawashita; Tadashi Matsuo; Akira Tamura; Susumu Nagashige; Kenji Hiruma; Doo-Hoon Goo; Iwao Nishiyama

We evaluated TaSix-based bi-layer absorber on ZrSi-based buffer for EUV mask, especially considering the possibility of ZrSi-based film as a combined buffer and capping layer. Since ZrSi-based film has both high dry-etching resistance and EUV transparency, it has potentiality to work as a combined capping and buffer layer. AFM machining repair of bi-layer TaSix absorber on ZrSi-based buffer can be performed to good profile. Printing evaluation showed that over-repair into buffer layer did not affect significantly to wafer CD. FIB (10keV) repair of bi-layer TaSix absorber on ZrSi-based buffer needs improvement for side-wall profile and distinguishable evaluation from implanted Ga+ effect in more detail. Effect of FIB (10keV) scan with ordinary repair process seems to be at least smaller than 10%.


Metrology, Inspection, and Process Control for Microlithography XVIII | 2004

Successful application of angular scatterometry to process control in sub-100-nm DRAM device

Jin-ah Kim; Seong-Jin Kim; Soo-bok Chin; Seok-Hwan Oh; Doo-Hoon Goo; Suk-joo Lee; Sang-Gyun Woo; Han-Ku Cho; Woo-Sung Han; Joo-Tae Moon; Christopher J. Raymond; Michael E. Littau; Byungjoo James Youn; Chang-Jin Sohn

As DRAM (Dynamic Random Access Memory) device continuously decreases in chip size, an increased speed and more accurate metrology technique is needed to measure CD (critical dimension), film thickness and vertical profile. Scatterometry is an optical metrology technique based on the analysis of scattered (or diffracted) light from periodic line and space grating and uses 2θ angular method (ACCENT Optical Technologies CDS-200). When a light source is irradiated into the periodic pattern, the scattered intensity signal of zero-th order as a function of incident angle is measured. By analyzing these scattered signals, various parameters of the periodic pattern such as CD, vertical profile, mapping of substrate structure, film thickness and sidewall angle can be determined. Advantages of scatterometry are that drastic decreased measuring time and acquirement of CD, vertical profile, film thickness and sidewall angle by just one measurement. In this paper we will discuss various applications of scatterometry to sub-100nm DRAM structures of straight line and space and curved line and space patterns. Details of the correlation with CD-SEM (Scanning Electron Microscope) of standard metrology tool and repeatability of measured CD values will be discussed. As diverse applications, results of in-field, in-wafer and wafer-to-wafer CD monitoring, STI (Shallow Trench Isolation) depth monitoring and matching of vertical profile with V-SEM (Vertical SEM) will be also presented.


symposium on vlsi technology | 2005

The Vth controllability of 5nm body-tied CMOS FinFET

Hye Jin Cho; Jeong Dong Choe; Jeong-Nam Han; Dong-Chan Kim; Heung-Sik Park; Doo-Hoon Goo; Ming Li; Chang Woo Oh; Dong-Won Kim; Tae-yong Kim; Choong-Ho Lee; Donggun Park; Kinam Kim; Byung-Il Ryu

In this paper, we demonstrate a 5nm width body-tied CMOS finFET on bulk Si for the first time. Also the threshold voltage control of the 5nm finFET is shown by using channel and pocket doping profile optimization along the narrow active fin. The excellent performance of finFET such as an excellent subthreshold swing (SS), and drain induced barrier lowering (DIBL) characteristics were found. And the systemic analyses of electrical characteristics dependencies on the fin width were evaluated for various fin width (5 /spl sim/ 100nm).


Proceedings of SPIE | 2007

Resist evaluation for EUV application at ASET

Doo-Hoon Goo; Yuusuke Tanaka; Yukiko Kikuchi; Hiroaki Oizumi; Iwao Nishiyama

Although EUV lithography has been prepared for next generation litho-technique for several years, there are still lots of obstacles on its way. Especially, phase defect from the mask, and immaturity in the resist should be solved as soon as possible because they are directly related to realizing patterns on the wafer. ASET has been focusing on these two problems, that is, the mask-related defect control and the resist screening for EUV application. In this study, we concentrate on the resist evaluation for the EUV lithography application, mainly commercial CAR (Chemically- Amplified Resist) type resist, for example, ArF resist based on polymethacrylate and KrF resist based on poly(4- hydroxystyrene) (PHS). We screened tens of resists in viewpoint of resolution, photo-speed, and LWR (Line Width Roughness). We used two METs (Micro-Exposure Tools). The one is HiNA in ASET and the other is MET in Lawrence Berkeley National Lab. (LBNL) to evaluate resist. And we used EUV masks fabricated by DNP and ASET. Some resist showed modulation on the wafer for 28nm-hp line and space pattern and some resist showed very high photo-speed about 5mJ/cm2. Photo-speed could be improved about 25% by controlling the amount of additives, PAG and quencher. However, improvement in photo-speed caused degradation in resolution. This means there are trade-off relation between resolution and photo-speed. And we also evaluated polymer-bound PAG resist, which showed new possibility for EUV resist. And we encountered unexpected problem, pattern lifting, which was solved by using bufferlayer to increase attachment force between resist and wafer surface. We conclude that polymer bound PAG resist is a good approach to lower LWR of resist for EUVL application and bufferlayer tuning and matching with resist is also needed for low LWR. The EUVL masks were fabricated by Dai Nippon Printing Co., Ltd. The HiNA set-3 projection optics were developed and provided by Nikon Corporation. This work was supported by NEDO.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Sub-32nm patterning using EUVL at ASET

Doo-Hoon Goo; Yuusuke Tanaka; Yukiko Kikuchi; Hiroaki Oizumi; Iwao Nishiyama

Since device makers must use the lowest cost process for their survivals, they will want to use their old refractive litho-tools such as ArF and KrF. They will want to extend their refractive optical paradigm by using the immersion lithography. However, simulation results show that it is difficult or impossible to print sub-30nm patterns using immersion without resolution enhancing techniques, for example, double exposure. Therefore EUV is a promising candidate to prepare the next generation litho-technique. ASET is focusing all efforts on developing EUV mask and EUV resists. In this paper, we have focused on and evaluated resists for EUV lithography targeting sub-30nm patterning. The resists we evaluated were mainly chemically amplified resist for KrF and ArF and new type of resist for EUV. And we also tuned resists with solution and additives. We also checked several properties such as LWR (Line Width Roughness), minimum resolutions, and sensitivity curves. Several candidates have shown potentialities for EUV resists. In present, EUV resist is not perfect and has unsolved problems such as outgassing and low speed, but it will be also improved as soon as ArF and KrF have been done.

Collaboration


Dive into the Doo-Hoon Goo's collaboration.

Researchain Logo
Decentralizing Knowledge