Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Edmund J. Sprogis is active.

Publication


Featured researches published by Edmund J. Sprogis.


Ibm Journal of Research and Development | 2008

Three-dimensional silicon integration

John U. Knickerbocker; Paul S. Andry; Bing Dang; Raymond Robert Horton; Mario J. Interrante; Chirag S. Patel; Robert J. Polastre; Katsuyuki Sakuma; Ranjani Sirdeshmukh; Edmund J. Sprogis; Sri M. Sri-Jayantha; Antonio M. Stephens; Anna W. Topol; Cornelia K. Tsang; Bucknell C. Webb; Steven L. Wright

Three-dimensional (3D) silicon integration of active devices with through-silicon vias (TSVs), thinned silicon, and silicon-to-silicon fine-pitch interconnections offers many product benefits. Advantages of these emerging 3D silicon integration technologies can include the following: power efficiency, performance enhancements, significant product miniaturization, cost reduction, and modular design for improved time to market. IBM research activities are aimed at providing design rules, structures, and processes that make 3D technology manufacturable for chips used in actual products on the basis of data from test-vehicle (i.e., prototype) design, fabrication, and characterization demonstrations. Three-dimensional integration can be applied to a wide range of interconnection densities (<10/cm2 to 108/cm2), requiring new architectures for product optimization and multiple options for fabrication. Demonstration test structures, which are designed, fabricated, and characterized, are used to generate experimental data, establish models and design guidelines, and help define processes for future product consideration. This paper 1) reviews technology integration from a historical perspective, 2) describes industry-wide progress in 3D technology with examples of TSV and silicon-silicon interconnection advancement over the last 10 years, 3) highlights 3D technology from IBM, including demonstration test vehicles used to develop ground rules, collect data, and evaluate reliability, and 4) provides examples of 3D emerging industry product applications that could create marketable systems.


Ibm Journal of Research and Development | 2008

3D chip-stacking technology with through-silicon vias and low-volume lead-free interconnections

Katsuyuki Sakuma; Paul S. Andry; Cornelia K. Tsang; Steven L. Wright; Bing Dang; Chirag S. Patel; Bucknell C. Webb; J. Maria; Edmund J. Sprogis; Sung K. Kang; Robert J. Polastre; Raymond Robert Horton; John U. Knickerbocker

Three-dimensional (3D) integration using through-silicon vias (TSVs) and low-volume lead-free solder interconnects allows the formation of high signal bandwidth, fine pitch, and short-distance interconnections in stacked dies. There are several approaches for 3D chip stacking including chip to chip, chip to wafer, and wafer to wafer. Chip-to-chip integration and chip-to-wafer integration offer the ability to stack known good dies, which can lead to higher yields without integrated redundancy. In the future, with structure and process optimization, wafer-to-wafer integration may provide an ultimate solution for the highest manufacturing throughput assuming a high yield and minimal loss of good dies and wafers. In the near term, chip-to-chip and chip-to-wafer integration may offer high yield, high flexibility, and high performance with added time-to-market advantages. In this work, results are reported for 3D integration after using a chip-to-wafer assembly process using 3D chip-stacking technology and fine-pitch interconnects with lead-free solder. Stacks of up to six dies were assembled and characterized using lead-free solder interconnections that were less than 6 µm in height. The average resistance of the TSV including the lead-free solder interconnect was as low as 21 mΩ.


Ibm Journal of Research and Development | 2008

Wafer-level 3D integration technology

Steven J. Koester; Albert M. Young; R. R. Yu; Sampath Purushothaman; K.-N. Chen; D.C. La Tulipe; N. Rana; Leathen Shi; Matthew R. Wordeman; Edmund J. Sprogis

An overview of wafer-level three-dimensional (3D)) integration technology is provided. The basic reasoning for pursuing 3D integration is presented, followed by a description of the possible process variations and integration schemes, as well as the process technology elements needed to implement 3D integrated circuits. Detailed descriptions of two wafer-level integration schemes implemented at IBM are given, and the challenges of bringing 3D integration into a production environment are discussed.


electronic components and technology conference | 2006

A CMOS-compatible process for fabricating electrical through-vias in silicon

Paul S. Andry; Cornelia K. Tsang; Edmund J. Sprogis; Chirag S. Patel; Steven L. Wright; B.C. Webb; Leena Paivikki Buchwalter; Dennis G. Manzer; Raymond Robert Horton; Robert J. Polastre; John U. Knickerbocker

In the past, traditional CMOS scaling has been one of the principal levers to achieve increased system-level performance. Today, scaling is becoming increasingly difficult and less effective, and a range of new two- and three-dimensional silicon integration technologies are needed to support next-generation systems. A silicon-carrier system-on-package (SOP) is an advanced packaging solution, enabling interconnection between ICs and other devices at densities far beyond those of current first-level packaging. Silicon-carrier employs fine pitch Cu damascene wiring, high-density solder pads/joins and high-yielding electrical through-vias. A novel approach to fabricating robust though-vias in silicon is described. The key design feature enabling large-area, uniform arrays to be produced with high yield is the annular via shape. As compared to a standard cylindrical via shape, the annular via is easier to integrate into a standard CMOS copper back-end-of-the-line (BEOL) process flow. Two process flows are compared: the first having the conductor metal within the gap of the insulated annulus itself, the second having a conducting metal core enclosed within the inner wall of the annulus. For the first process flow, two annular conductors, plated copper and CVD tungsten, are compared in terms of ease of integration, yield and susceptibility to failure during thermal stressing. Large area (45 times 48 mm) silicon carrier modules containing more than 51,000 electrically measurable through-vias are used to compare overall yield and robustness of each process. Results on deep thermal cycling, current carrying capacity and thermomechanical modeling are discussed. Wafer-level via testing is used to statistically distinguish between via chain opens caused by bond and assembly issues versus failures in the vias or integrated wiring structures. Through-via resistances on the order of ~10 mOmega are typical, and through-via yields of 99.98% at module level have been demonstrated


electronic components and technology conference | 2006

Characterization of micro-bump C4 interconnects for Si-carrier SOP applications

Steven L. Wright; Robert J. Polastre; H. Gan; Leena Paivikki Buchwalter; Raymond Robert Horton; Paul S. Andry; Edmund J. Sprogis; Chirag S. Patel; Cornelia K. Tsang; John U. Knickerbocker; J.R. Lloyd; A. Sharma; M.S. Sri-Jayantha

This paper describes yield, contact resistance, and preliminary reliability test results on micro-bump C4 interconnects in modules containing Si-chips and Si-carriers. Modules containing eutectic PbSn or SnCu bump solders were fabricated with high yield, with similar interconnect contact resistances for both solders. The contact resistance and reliability test results to date suggest that reliable, high-current, high-density bump interconnections can be achieved for Si-carrier technology


electronic components and technology conference | 2007

3D Chip Stacking Technology with Low-Volume Lead-Free Interconnections

Katsuyuki Sakuma; Paul S. Andry; Bing Dang; J. Maria; Cornelia K. Tsang; Chirag S. Patel; Steven L. Wright; B.C. Webb; Edmund J. Sprogis; Sung Kwon Kang; Robert J. Polastre; Raymond Robert Horton; John U. Knickerbocker

In this paper a three-dimensional (3D) chip stacking technology using fine-pitched interconnects with lead-free solder is described. Different interconnect metallurgies such as Cu/Ni/In, Cu/In and Cu/Sn were considered and the bonding conditions to optimize the bonding parameters were determined. The effect of intermetallic compound (IMC) formation on the mechanical properties of the joins is discussed. Unlike standard 100-micron C4 solder balls, very small solder volumes (< 6 microns high) were investigated. The mechanical properties were evaluated by shear and impact shock testing, while scanning electron microscopy (SEM) and optical microscopy were used to study the morphology of the IMC layers in solder joins before and after annealing. It was found that Cu/Ni/In and Cu/In interconnections have slightly lower shear strength per bump. While these values were lower than the Cu/Sn joins, the Cu/Ni/In chips passed the impact shock test for a simulated heat sink mass of 27 g/cm2. The reasons for the differences in reliability of these metallurgies are discussed. 3D chip stacking using two-layers of chips with fine-pitch lead-free interconnects was demonstrated. The resistance of link chains comprising through-vias, lead-free interconnects and Cu links were measured using a 4-point probing method. The average resistance of the through-via including the lead-free interconnect was 21 mOmega.


electronic components and technology conference | 2006

Pb-free microjoints (50 /spl mu/m pitch) for the next generation microsystems: the fabrication, assembly and characterization

H. Gan; Steven L. Wright; Robert J. Polastre; Leena Paivikki Buchwalter; Raymond Robert Horton; Paul S. Andry; Chirag S. Patel; Cornelia K. Tsang; John U. Knickerbocker; Edmund J. Sprogis; A. Pavlova; Sung Kwon Kang; K.W. Lee

To support the next generation highly integrated microsystem with 3D silicon integration using fine pitch interconnection and Si carrier, we develop a fabrication and assembly process at IBM Research to produce solder micro-joints (fine pitch flip-chip interconnections) for our system-on-package (SOP) technology. We fabricate solder bumps with 25 mum (or less) in diameter on 50 mum pitch size, as well as 50 mum in diameter on 100 mum pitch size, at wafer level (200mm) by electroplating method. There are up to 10208 micro-bumps (25 mum) built on a chip surface less than 0.4 cm2. The process can be applied to various solder compositions, including eutectic SnPb, Pb-free (CuSn), AuSn and high Pb (3Sn97Pb) solders. The test matrix includes different solder/UBM (under bump metallization) combination. In this paper, the discussion focuses on the fabrication, assembly and characterization of the micro-joints made with of Pb-free (CuSn) and eutectic SnPb solders with Ni and/or Cu stack plating. The preliminary electrical and mechanical test results indicated that reliable and high yield micro-bumps can be successfully made with this fabrication and assembly process


Ibm Journal of Research and Development | 2008

3D chip stacking with C4 technology

Bing Dang; Steven L. Wright; Paul S. Andry; Edmund J. Sprogis; Cornelia K. Tsang; Mario J. Interrante; B.C. Webb; Robert J. Polastre; Raymond Robert Horton; Chirag S. Patel; A. Sharma; J. Zheng; Katsuyuki Sakuma; John U. Knickerbocker

Three-dimensional (3D) integration technology promises to continue enhancing integrated-circuit system performance with high bandwidth, low latency, low power, and a small form factor for a variety of applications. In this work, conventional C4 (controlled-collapse chip connection) technology is studied for robust interconnection between stacked thin chips. Various solder hierarchies to enable 3D chip stacking and packaging are investigated. Examples are presented to compare stacking schemes with sequential and parallel reflow. Chips as thin as 90 µm are stacked using conventional chip-placement and reflow processes, and the associated process challenges are investigated and discussed. Warpage of the thin chips is measured on various substrates. Rework of the chip stack has also been demonstrated through a temporary chip attachment operation, and the scalability of reworkable C4 is investigated.


electronic components and technology conference | 2005

Silicon Carrier with Deep Through-Vias, Fine Pitch Wiring and Through Cavity for Parallel Optical Transceiver

Chirag S. Patel; Cornelia K. Tsang; Christian Schuster; Fuad E. Doany; H. Nyikal; Christian W. Baks; Russell A. Budd; Leena Paivikki Buchwalter; Paul S. Andry; D.F. Canaperi; D.C. Edelstein; Raymond Robert Horton; John U. Knickerbocker; T. Krywanczyk; Young H. Kwark; K.T. Kwietniak; J.H. Magerlein; Joanna Rosner; Edmund J. Sprogis

The design, fabrication, assembly and characterization of a novel silicon carrier package used for enabling a Tb/s parallel optical transceiver is reported. Electrical through-vias, high speed wiring and a through cavity for housing optoelectronic (OE) devices are critical features of the silicon carrier that allow high density integration of optical and electrical components on a single substrate, resulting in a small form factor system that is capable of meeting high bandwidth requirements of large computing systems. A novel hierarchical approach involving eutectic AuSn and SnPb solder systems and flip chip bonding technology is used to assemble the transceiver module. The optical system used for coupling light from the OE devices to waveguides is based on a relay lens that is integrated into the OE array. The measurement and model for alignment tolerance analysis showed constant coupling efficiency from the OE to waveguide over a range of plusmn 10 mum, giving an excellent margin for alignment. Electrical simulations and measurement of silicon carrier through-vias showed an insertion loss of better than 1 dB at 20 GHz. Simulations and measurements also exhibited an attenuation of 4.3 dB/cm at 20 GHz for high speed wiring on the silicon carrier, which was adequate for 20 Gbps data transmission over a channel length of 7 mm


electronic components and technology conference | 2008

Characterization of stacked die using die-to-wafer integration for high yield and throughput

Katsuyuki Sakuma; Paul S. Andry; Cornelia K. Tsang; Kuniaki Sueoka; Yukifumi Oyama; Chirag S. Patel; Bing Dang; Steven L. Wright; B.C. Webb; Edmund J. Sprogis; Robert J. Polastre; Raymond Robert Horton; John U. Knickerbocker

We have developed a die-to-wafer integration technology for high yield and throughput for the formation of high bandwidth, high performance, and short-distance interconnections in three-dimensional (3D) stack applications. The results show that multiple 70-mum thick die can be successfully assembled in stacks on top of a wafer using a single bonding step, rather than by repeated sequential bonding steps. In this study, 1-die, 3-die, and 6-die stacks were assembled and the electrical resistance of link chains consisting of through-silicon-vias (TSVs), low-volume lead-free interconnects, and Cu wiring links was measured. The average resistance of the TSV including the lead-free interconnect was as low as 21 mOmega. The stacking throughput can be dramatically improved by this die-to-wafer integration technology and the contact resistance and reliability test results suggest that a reliable integration technology can be used for 3D stack applications.

Researchain Logo
Decentralizing Knowledge