Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eric P. Cotte is active.

Publication


Featured researches published by Eric P. Cotte.


Optical Microlithography XVI | 2003

Effects of soft pellicle frame curvature and mounting process on pellicle-induced distortions in advanced photomasks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Daniel Tanzil; Florence Eschbach; Yulia O. Korobko; Minoru Fujita; Hiroaki Nakagawa

Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-90 nm lithography chip manufacturing. Intel Corporation, Mitsui Chemicals, and the University of Wisconsin Computational Mechanics Center (UW-CMC) have conducted an extensive experimental study to quantify and minimize the pellicle-induced distortions in order to meet advanced mask manufacturing requirements. A comprehensive design of experiment was elaborated to evaluate the effects of frame curvature, adhesive gasket compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A frame curvature measurement tool was custom-made at the UW-CMC, employing an MTI Instruments capacitive sensor. A TA Instruments dynamic mechanical analyzer was used to determine the elastic modulus of the adhesive gasket materials. Registration measurements were conducted by Intel on test reticles on a 21 × 21 array of grid points, before and after pellicle attachment, to obtain pellicle-induced distortion results. Results characterize the influence of attachment process, type of adhesive gasket, frame curvature, reticle guiding plate configuration, and attachment load on pellicle-induced distortions.


Emerging lithographic technologies. Conference | 1999

Predicting mechanical distortions in x-ray masks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Cameron J. Brooks

The development of a low distortion mask is essential for advanced lithographic technologies to meet the allotted error budgets for sub-130 nm regimes. Predicting mask- related distortions is the first step in the design and optimization process. This paper presents the result of simulating mechanical distortions induced in the x-ray mask during fabrication and pattern transfer. Finite element (FE) models have been used to predict the out-of-plane distortions for the fabrication of the mask blank. Numerical data are in excellent agreement with experimental data. In- plane distortions due to the pattern transfer process have also been simulated for the IBM Falcon mask layout. Parametric studies illustrate the effect of system parameters on the final in-plane distortion results.


Journal of Micro-nanolithography Mems and Moems | 2004

Theoretical analysis of 157-nm hard pellicle system purification via a cyclic purge'fill process

Gregory Nellis; Amr Y. Abdo; Roxann L. Engelstad; Eric P. Cotte

Optical lithography with 157-nm light is expected to bridge the gap between 193-nm technology and next-generation lithography. One important practical difficulty facing the implementation of 157-nm tech- nology is gas absorption of 157-nm light. The exposure process for 193-nm technology is carried out in an air environment, but oxygen gas and water vapor severely attenuate 157-nm radiation. However, 157-nm exposure can be carried out in a nitrogen environment, which can be achieved by purging. A challenging aspect of the nitrogen purging pro- cess is the evacuation of the volume delineated by the pellicle frame, and the 800-mm-thick hard pellicle plate, which can fracture when sub- jected to an excessive pressure difference. A technique for pellicle puri- fication via a cyclic purging and filling process is investigated. A theoret- ical analysis of the gas flow and pressure variation in the system is presented. The maximum stress induced in the hard pellicle during the process is predicted using finite element modeling. The minimum time for purification without causing excessive stress in the pellicle plate is estimated for a nominal set of conditions. Finally, a parametric analysis of important geometric variables including the size and number of purg- ing holes as well as the filter resistance is presented.


21st Annual BACUS Symposium on Photomask Technology | 2002

Numerical and experimental studies of pellicle-induced photomask distortions

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Yuri M. Shkel; Florence Eschbach; Emily Y. Shu; Daniel Tanzil; Rebecca Calhoun

Meeting the stringent error budget of 157-nm lithography for manufacturing devices in the sub-100 nm regime requires that all mask-related distortions be minimized, corrected, or eliminated. Sources include the pellicle system, which has been previously identified as a potential cause of image placement error. To characterize pellicle-induced distortions, finite element (FE) models have been developed to simulate system fabrication, including soft pellicles as well as prototype fused silica (hard) pellicles. The main sources of distortions are: (a) temperature variations, (b) initially distorted components, and (c) sag-induced refraction. Temperature variations are an issue if pellicle mounting and exposure take place at different temperatures. Sources of attachment-induced distortions include the initial frame curvature, initial reticle shape, attachment method (mounting tools-induced), frame and gasket materials, and the hard pellicle bow. These attachment-induced distortions were modeled using experimentally measured values of Youngs modulus for adhesive gaskets. Refraction aberration is an issue with bowed hard pellicles which act as optical elements and induce image degradation. These effects were assessed and found to be significant. Results from the experiments and comprehensive FE simulations have characterized the relative importance of the principal sources of pellicle-induced photomask distortions for 157-nm lithography.


Photomask and next-generation lithography mask technology. Conference | 2002

Experimental and numerical studies of the effects of materials and attachment conditions on pellicle induced distortions in advanced photomasks

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Daniel Tanzil; Florence Eschbach; Emily Y. Shu

Lithography registration errors induced by the attachment of soft pellicles on reticles can significantly affect wafer overlay performance for sub-100 nm lithography chip manufacturing. Intel Corporation and the University of Wisconsin have conducted an extensive study to identify the various sources of pellicle-induced distortions and methods for error reduction in order to meet advanced mask manufacturing requirements. In this study, pellicle attachment processes and system materials were evaluated to determine the effects on image placement accuracy. In particular, the in-plane distortions due to the pellicle attachment technique, pellicle frame flatness, frame adhesive, and environmental temperature were characterized. At Intel, pellicles were attached to a test reticle with a 21 X 21 array of grid points. Registration measurements were conducted before and after pellicle attachment using an optical distance metrology system. A comprehensive finite element model was developed at the University of Wisconsin to assess the contributions to pellicle-induced distortions from individual components of the pellicle system. Pellicle frame flatness, frame adhesive, and temperatures were measured and used as input to the finite element model. The correlation between simulation results and experimental data was excellent. Analyses were also performed to study pellicle mounting mechanisms and pellicle frame flatness.


Photomask and next-generation lithography mask technology. Conference | 2002

Pellicle-induced distortions in advanced photomasks

Minoru Fujita; Masaya Akiyama; Masahiro Kondo; Hiroaki Nakagawa; Daniel Tanzil; Florence Eschbach; Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell

A comprehensive design of experiment was elaborated to evaluate the effects of frame flatness, mask adhesive compliance, and mounting load on pellicle-induced distortions for soft pellicle systems. A dynamic mechanical analyzer was used to determine the elastic modulus of the adhesives materials, and a capacitive sensor-based tool was employed to measure the pellicle frame bow prior to mounting. Registration measurements were conducted on test reticles on a 21 X 21 array of grid points, before and after pellicle attachment. Statistical analysis (Anova test) was conducted to determine if the means for each sample group were statistically discernable. Overall, the magnitude of the distortions was very low for the pellicle mounting mechanism selected. Nevertheless the results indicated that the sample group with the flexible (softer) mask adhesive material exhibited lower distortions than that with conventional (stiffer) mask adhesive. Either larger sample size and/or wider variations in initial frame bow and mounting pressure will be required to assess the impact of these parameters on pellicle-induced distortions. Flexible (softer) mask adhesives are believed to minimize photomask deformation during the mounting process, resulting in lower pellicle-induced distortions.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Experimental and Numerical Studies of the Response of Photomask Hard Pellicles to Acoustic Excitation

Eric P. Cotte; Phillip L. Reu; Roxann L. Engelstad; Edward G. Lovell; Andrew Grenville; Chris K. Van Peski

To meet the stringent image placement error budgets for the insertion of 157-nm lithography in the sub-65 nm regime, photomask-related distortions must be minimized, corrected, or possibly eliminated. Sources of distortions include the pellicle system, which has been previously identified as a potential cause of image placement error. To characterize the many aspects of static pellicle-induced distortions, experiments have been conducted, and comprehensive finite element simulations have been performed for hard pellicle systems. The results of these benchmarking studies showed the capabilities of modeling and simulation to accurately predict static pellicle-induced distortions. In addition, the dynamic response of hard pellicles during exposure scanning was determined, taking into account both inertia effects and fluid / structure interaction. This paper focuses on the vibratory response of modified fused silica (hard) pellicles due to acoustic / dynamic pressure loadings during exposure scanning, studied both experimentally and numerically. A modal analysis was performed, the structural damping of the pellicle system was assessed, and a harmonic study was conducted to characterize the effects of acoustic excitation. The results obtained facilitate the timely establishment of viable hard pellicle designs and related standards for 157-nm lithography.


21st Annual BACUS Symposium on Photomask Technology | 2002

Mask-related distortions of modified fused silica reticles for 157-nm lithography

Andrew R. Mikkelson; Amr Y. Abdo; Eric P. Cotte; Jaewoong Sohn; Roxann L. Engelstad; Edward G. Lovell

Extending 157-nm lithography to the 70 nm node will be a difficult challenge due to the stringent requirements on image placement accuracy. At the University of Wisconsin Computational Mechanics Center, numerical and experimental studies are being conducted to investigate materials, fabrication processing, and system parameters necessary to achieve the required overlay error budget. This paper provides our latest results for 157-nm reticles, including the photomask / pellicle system. Mask blank fabrication and pattern transfer effects were simulated utilizing three-dimensional finite element (FE) structural models. The pattern-specific in-plane distortions (IPD) induced by each fabrication process step have been determined using the IBM Nighteagle / Falcon layout. To complete the static structural analysis, the effects of bonding a pellicle were also identified. The thermomechanical response of reticles during e-beam patterning and exposure were evaluated utilizing FE heat transfer models. Results from e-beam writing simulations indicate that transient thermal distortions from patterning the Nighteagle / Falcon design are not critical. However, under high throughput conditions, the IPD induced during scanning exposure can become relatively large. The simulation results provide an indication of the total overlay error budget to be expected, and demonstrate the importance of using predictive models to optimize mask system performance in a cost-effective manner.


Microelectronic Engineering | 2001

Equivalent modeling techniques for predicting pattern transfer in EPL masks

Eric P. Cotte; Edward G. Lovell; Roxann L. Engelstad

Abstract One of the primary technologies proposed for the manufacturing of microcircuit devices in the sub-100 nm regime is electron-beam projection lithography (EPL). To meet the stringent error budgets, it is necessary to eliminate, minimize or correct for the sources of mask-related distortions. Among them is pattern transfer in-plane distortion (IPD). It is driven by the residual stresses of the multilayers deposited on the mask substrate during the fabrication process. This paper focuses on EPL technologies (such as SCALPEL and PREVAIL) that employ a freestanding membrane as a key component of the mask. To facilitate pattern transfer simulations, equivalent modeling techniques have been developed using finite element methods, and applied to specific test patterns. Because of the relative size of IC pattern features, it is impractical to model all details of the design. Consequently, equivalent modeling techniques are needed to simulate the pattern-specific distortions induced during pattern transfer. For repeated patterns, such as memory chips, it is sufficient to simulate basic stress/strain testing of a unit cell representative of the design to determine the equivalent stiffness of the membrane. Equivalent orthotropic properties (i.e. the elastic modulus in mutually orthogonal directions, Poisson’s ratio and the shear modulus) were determined for the IBM Nighteagle/Falcon format (an SRAM device at 175 nm). Analytical calculations for a theoretical test case were also conducted to benchmark the FE simulations.


Microelectronic Engineering | 2002

Distortions in advanced photomasks from soft pellicles

Eric P. Cotte; Roxann L. Engelstad; Edward G. Lovell; Yuri M. Shkel; Florence Eschbach; Emily Y. Shu; Daniel Tanzil; R.M. Calhoun

The more stringent image placement error budgets for 157-nm lithography require a total assessment of photomask distortion sources and their eventual control. This includes proposed soft pellicle systems. Similar pellicles in use today have been previously identified as a major source of distortion. To characterize the many aspects of this problem, a numerical modeling and simulation program was initiated. Finite element results are reported in this paper along with correlations from experimental measurements.

Collaboration


Dive into the Eric P. Cotte's collaboration.

Top Co-Authors

Avatar

Roxann L. Engelstad

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Edward G. Lovell

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Amr Y. Abdo

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Andrew R. Mikkelson

University of Wisconsin-Madison

View shared research outputs
Top Co-Authors

Avatar

Phillip L. Reu

University of Wisconsin-Madison

View shared research outputs
Researchain Logo
Decentralizing Knowledge