Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Ei Yano is active.

Publication


Featured researches published by Ei Yano.


Japanese Journal of Applied Physics | 1999

Theoretical Calculation of Photoabsorption of Various Polymers in an Extreme Ultraviolet Region

Nobuyuki Matsuzawa; Hiroaki Oizumi; Shigeyasu Mori; Shigeo Irie; Shigeru Shirayone; Ei Yano; Shinji Okazaki; Akihiko Ishitani; David A. Dixon

We have calculated the linear absorption coefficients of various resist polymers using the mass absorption coefficients at 13 nm and the density obtained from the graph-theoretical treatment derived by Bicerano. The values indicate that the transmittance at 13 nm of conventional resists used in 193-nm, 248-nm and 365-nm lithography is about 30% when the thickness is 3000 A and 60–70% when it is 1000 A. This shows that conventional resists are suitable for an EUVL (extreme ultraviolet lithography) thin-layer resist (TLR) process using a hard-mask layer, but their large photoabsorption makes them unsuitable for a single-layer resist (SLR) process. To design polymers that are suitable for an SLR process, we further calculated the absorption of about 150 polymers. The results suggest that the introduction of aromatic groups into a polymer not only reduces the absorption at 13 nm but also increases the etching resistance.


Microelectronic Engineering | 2000

Theoretical estimation of absorption coefficients of various polymers at 13 nm

Nobuyuki Matsuzawa; Hiroaki Oizumi; Shigeyasu Mori; Shigeo Irie; Ei Yano; Shinji Okazaki; Akihiko Ishitani

The linear absorption coefficients at 13 nm were calculated for more than 150 polymers. The results indicate that an aromatic substitution lowers the absorption coefficient. This is because oxygen has a larger atomic absorption than carbon or hydrogen and the substitution reduces the relative oxygen content. Furthermore, the Ohnishi parameters for the polymers were calculated in order to investigate the relationship between the absorption at 13 nm and the etching resistance. This showed that polymers with aromatic groups tend to exhibit a lower absorption and a higher etching resistance than those without aromatic groups. This suggests that, regarding resist processes for EUV (extreme ultraviolet) lithography, a single-layer resist process employing no hard-mask layer is another promising candidate in addition to one that uses both an unltrathin resist layer (~100 nm thick) and a hard-mask layer


Advances in resist technology and processing XVII | 2000

Theoretical calculations of photoabsorption of molecules in the vacuum ultraviolet region

Nobuyuki Matsuzawa; Shigeyasu Mori; Ei Yano; Shinji Okazaki; Akihiko Ishitani; David A. Dixon

The theoretical design of transparent materials for use as photoresist materials for F2 lithography (157 nm) requires molecular orbital calculations of the photoabsorption of molecules in the vacuum ultraviolet (VUV) region. To predict photoabsorption, this study employed ab initio molecular orbital calculations at the level of single-excitation configuration interaction (CIS) combined with an empirical correction to the calculated peak position. This method was used to calculate the photoabsorption spectra of various functional groups, such as alcohol, ether, ketone, carboxylic acid and ester groups, as well as several polymers and alicyclic groups. On the basis of the calculation results, the applicability of these functional groups to photoresists for F2 lithography is discussed.


international interconnect technology conference | 2005

45 nm-node BEOL integration featuring porous-ultra-low-k/Cu multilevel interconnects

Iwao Sugiura; Yoshihiro Nakata; N. Misawa; S. Otsuka; N. Nishikawa; Yoshihisa Iba; F. Sugimoto; Y. Setta; H. Sakai; Yoriko Mizushima; Y. Kotaka; C. Uchibori; Takashi Suzuki; Hideki Kitada; Y. Koura; K. Nakano; T. Karasawa; Y. Ohkura; H. Watatani; M. Sato; S. Nakai; Masafumi Nakaishi; Noriyoshi Shimizu; Shun-ichi Fukuyama; Motoshu Miyajima; Tomoji Nakamura; Ei Yano; K. Watanabe

45 nm-node multilevel Cu interconnects with porous-ultra-low-k have successfully been integrated. Key features to realize 45 nm-node interconnects are as follows: 1) porous ultra-low-k material NCS (nano-clustering silica) has been applied to both wire-level and via-level dielectrics (what we call full-NCS structure), and its sufficient robustness has been demonstrated; 2) 70-nm vias have been formed by high-NA 193 nm lithography with fine-tuned model-based OPC and multi-hard-mask dual-damascene process - more than 90% yields of 1 M via chains have been obtained; 3) good TDDB (time-dependent dielectric breakdown) characteristics of 70 nm wire spacing filled with NCS has been achieved. Because it is considered that the applied-voltage (Vdd) of a 45 nm-node technology will be almost the same as that of the previous technology, the dielectrics have to endure the high electrical field. NCS in Cu wiring has excellent insulating properties without any pore sealing materials which cause either the k/sub eff/ value or actual wire width to be worse.


Advances in Resist Technology and Processing XVII | 2000

Methods to improve radiation sensitivity of chemically amplified resists by using chain reactions of acid generation

Seiji Nagahara; Yusuke Sakurai; Masanori Wakita; Yukio Yamamoto; Seiichi Tagawa; Masanori Komuro; Ei Yano; Shinji Okazaki

The approach toward the enhancement of the resist sensitivity was investigated by introducing the radical chain reactions into the acid generation processes. The acid yields of various ionic and nonionic acid generators in some solvents and films were examined to search the most efficient system of the radical chain acid proliferation reactions. The acid proliferation was discussed using Gibbs free energy change of the electron transfer reactions in the chain reactions. The most efficient system to realize the chain reactions was the combination of iodonium salt acid generator and secondary alcohol acid amplifiers. In acrylic polymer resists containing the iodonium salt and the alcohol compounds, resist sensitivity was enhanced in electron beam lithography.


26th Annual International Symposium on Microlithography | 2001

Control of line edge roughness of ultrathin resist films subjected to EUV exposure

Man-Hyoung Ryoo; Shigeru Shirayone; Hiroaki Oizumi; Nobuyuki Matsuzawa; Shigeo Irie; Ei Yano; Shinji Okazaki

The line edge roughness (LER) of ultrathin chemically amplified (CA) KrF-resist-based films was investigated using exposure to extreme ultraviolet (EUV) radiation (13.5nm). For the films between 0.09micrometers and 0.13micrometers thick, the LER was about 5~7% for a target critical dimension (CD) of 70nm and exposure to coherent illumination ((sigma) =0.01). The LER was found to be smaller in samples containing a relatively strong-acid photo-acid generator (PAG). The use of baking conditions producing greater acid diffusivity and the use of weak developer were very effective in reducing the LER of thin resist films. Atomic force microscope (AFM) observations showed the surface morphology of samples with a small LER to be very uniform. These results suggest that the use of a high-sensitivity resist and a weak developer may help to create an environment promoting uniform dissolution, thus resulting in a smaller LER in thin resist films.


Japanese Journal of Applied Physics | 1996

A New Single-Layer Resist for 193-nm Lithography

Koji Nozaki; Keiji Watanabe; Takahisa Namiki; Miwa Igarashi; Yoko Kuramitsu; Ei Yano

A positive chemically amplified resist for 193-nm lithography has been developed. The resist consists of a copolymer of tetrahydro-4-methyl-2-oxo- 2H-pyran-4-yl methacrylate and 2-methyl-2-adamantyl methacrylate and a photoacid generator. The acid-catalyzed deprotection of the protective groups leads to a large polarity change in the exposed region of the resist films and it allows for high-contrast patterning with high sensitivity. Using an ArF excimer laser exposure system, a 0.17-µ m lines and spaces pattern has been resolved.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Lithographic performance and optimization of chemically amplified single layer resists for EUV lithography

Takeo Watanabe; Hiroo Kinoshita; Atsushi Miyafuji; Shigeo Irie; Shigeru Shirayone; Shigeyasu Mori; Ei Yano; Hideo Hada; Katsumi Ohmori; Hiroshi Komano

The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-micrometer-resist- pattern width on the exposure-field size of 10 mm X 1 mm on an 8-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.


Advances in Resist Technology and Processing XVII | 2000

High-performance EB chemically amplified resists using alicyclic protective groups

Junichi Kon; Koji Nozaki; Takahisa Namiki; Ei Yano

The impact of alicyclic protective groups on acid-labile substituents in a vinylphenol-methacrylate-based chemically amplified positive resist was investigated. The resist consists of the copolymer of vinylphenol and adamantyl methacrylate (VP/AdMA) with triflate onium salt as a photo- acid generator. The alicyclic protective groups in our system show a higher reactivity and higher hydrophobicity than those of the tert-butyl group, which is widely used in chemically amplified resists. The resists containing the alicyclic protective group resolved 0.09-micrometer hole patterns at 6 (mu) C/cm2, and a resist with a base additive resolved 0.12-micrometer line and space patterns at 9.0 (mu) C/cm2 using a 50-keV EB lithography system with a 2.38% TMAH aqueous solution as the developer. The dry etching durability of resists containing the alicyclic group was also compared with resists containing the tert-butyl group and with polyvinylphenol (PVP). The dry etching durability of our resists for a C4F8 plasma was 1.3 times superior to that of resist containing the tert-butyl group, and 1.1 times better than that of PVP. This means the thickness of film in pattern fabrication can be reduced to obtain a higher sensitivity and higher resolution.


Microelectronic Engineering | 2002

Imaging results for resist films exposed to EUV radiation

Man-Hyoung Ryoo; Shigeru Shirayone; Ei Yano; Shinji Okazaki; Sujin Kang

The lithographic performance of DUV-based TSI, bi-layer and single-layer CA resists imaged at the EUV wavelength is reported and compared. The images exhibit a very high aspect ratio and good profiles. This means that a DUV-based CA resist process can be used directly in EUVL without modification. However, the TSI resist has poor sensitivity and the bi-layer resist has a large line edge roughness. In EUV imaging using a single-layer resist, fine images were obtained with a resist thickness of over 0.2 μm; and the maximum thickness for EUV imaging was limited to around 0.3 μm, at which the calculated transmittance for polyvinylphenol resin at the EUV wavelength is about 30%.

Collaboration


Dive into the Ei Yano's collaboration.

Researchain Logo
Decentralizing Knowledge